文档库 最新最全的文档下载
当前位置:文档库 › 四路彩灯显示电路数字逻辑课程设计

四路彩灯显示电路数字逻辑课程设计

四路彩灯显示电路数字逻辑课程设计
四路彩灯显示电路数字逻辑课程设计

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计

设计题目:四路彩灯显示系统

专业班级:

姓名:

学号:

设计课题:四路彩灯显示系统设计

1.设计任务和要求

设计一个四路彩灯控制器,设计要求如下:

(1)接通电源后,彩灯能够自动按预先设置的程序循环闪烁。

(2)设置的彩灯花型由三个节拍组成:

第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s;

第二节拍:四路彩灯从右向左逐次渐灭,也需4s;

第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,

所需时间也为4s。

(3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。

2. 设计分析

四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。

表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s后重复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

分频及节拍控制能够用一个模12计数器来完成;彩灯渐亮、渐灭能够用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s、同时灭0.5s可考虑把1Hz的秒脉冲信号直接加到输出显示端来完成。

综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。

记第一,二,三节拍分别为

Y Y Y有效时间应为4秒,0Y结束1Y

012

马上开始,

Y后2Y马上开始,如此循环不断。为此可考虑采用移位

1

寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即

Y Y Y节拍应为100,可控制输入信

012

号使触发器置位、复位来实现。

为实现

Y功能要求器件具有右移功能,为实现1Y功能要求器件

有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A、B、C、D,并行输出端A Q、B Q、C Q、D Q,右移输

入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当012Y Y Y =100时,01S S =01(右移),012Y Y Y =010时,01S S =10(左移),当012Y Y Y =001时01S S =11(并行置数)。

74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确定下来,即 012Y Y Y =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 经过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,当012Y Y Y =010 01S S =10 左移串行数据输入端 SL 经脉冲信号经四分频电路和 经过两或门组成的节拍电路,使四路彩灯从左到右依次灭共 4秒,012Y Y Y =001 01S S =11 并行数据输入端 A 、B 、C 、D 经脉冲信号经四分频电路和 经过两或门组成的节拍电路,使四路彩灯同时为“ 1”0.5秒、同时为“0”0.5秒,重复4遍共4秒,完成一个循环共需12秒,12个CP 脉冲。

3. 设计方案

分析以上设计任务,该控制系统完成如图3-4所示的控制流程,系统结构框图如图3-5所示。其中脉冲源采用秒脉冲发生器,用以提供频率为1Hz 的时钟信号;分频器将1Hz 的时钟信号四分频,用以产生0.25Hz (即4S )的时钟信号;节拍控制器产生三个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移和送数功能,能够使用双向通用移位寄存

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

四路彩灯设计

Proteus 仿 真 大 作 业 课题:四路彩灯设计系部: 班级: 姓名: 指导老师: 2013.05.31

前言 Proteus是世界上著名的EDA工具仿真软件,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。 因此,有较高的推广利用价值。

目录 第一章四路彩灯、555简介 (5) 1.1 四路彩灯设计原理 (5) 1.2 555芯片简介 (5) 第二章课程设计任务及要求 (6) 2.1 设计任务 (6) 2.2 设计要求及器件 (6) 2.3 设计目标 (6) 2.4 设计软件环境要求 (6) 2.5 元器件列表 (7) 2.6系统逻辑框图 (7) 2.7 彩灯点亮过程 (7) 第三章Proteus仿真电路 (8) 3.1 绘制数字时钟电路Protues仿真原理图: (8) 3.1.1 启动ISIS 7 Professional软件 (8) 3.1.2 数字时钟原理图 (9) 3.1.3 时钟电路 (9) 3.1.4 计数电路 (11) 3.1.5 电路检测 (12) 3.1.6参数的计算 (12) 3.2 仿真调试 (12) 第四章调试及测试结果分析 (14) 4.1 结果的调试及分析 (14) 4.2测试电路的方法和技巧: (14) 4.3调试中出现的故障、原因及排除方法: (14) 总结 (15) 参考文献 (16)

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

四路彩灯系统报告书

一.设计整体思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。 二.基本原理及整体框图 1、基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故可在前0.5秒内送数1将彩灯全部点亮,接下来的0.5秒使得74LS19清零端为零,从而将彩灯熄灭。然后重复此亮灭状态三次。因此第三个节拍只要在清零端送与CL K端相同的脉冲,但考虑到竞争冒险对电路的影响,需延迟时钟脉冲。

2、框图

三.单元电路设计及单元电路 1、分频器的实现 在数字电子技术中,对脉冲实现分频的方法一般有两种:其一是用n进制计数器。其二是用D触发器电路。这两种方法各有优势,但在此处我只分析用74LS161计数器实现分频的电路。电路分析图: 及其波形图 如下

2、循环控制电路 如果模N计数器的计数序列从最小1到最大数N,那么N+1是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR,将计数器清零。此处工作状态从0001~1100,检测到1101时异步清零。 12进

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

四路彩灯显示系统设计

课程名称: 数字电路逻辑设计 设计项目:四 路彩灯显示系统设计 专业班级: 通信 学生姓名: 同组人姓名: 指导教师: 学号:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 2、 3、 熟悉常用中规模计数器的逻辑功能。 掌握技术、译码电路的工作原理及应用。 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间 1s ,共用 4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需 4s ; 第三节拍:四路彩灯同时亮 0.5s,然后同时变暗,进行 4 次,所需时间也为 4s 。 (3)三个节拍完成一个循环,一共需要 12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为 、 、 、 ,若用高电平“1” 表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输 出显示。 Q Q Q Q d c b a

说明 输出 所用时间Q d Q c Q b Q a 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号: 设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间 也为4s。

(3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为 d Q 、 c Q 、 b Q 、 a Q ,若“1”表示灯 亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示 由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y Y Y 有效时间应为4秒,0Y 结束1Y 马上开始, 1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

四路彩灯显示系统逻辑电路设计

哈尔滨工程大学 数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称四路彩灯显示系统逻辑电路设计 班级 学号 学生姓名 同组班级 同组学号 同组姓名 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 2013年06 月

摘要 四路彩灯常见于节庆场合,按照某种规则点亮或者闪烁彩灯,本次数字逻辑电路设计实验主要完成四路彩灯的控制流程,控制流程如下: 第一路彩灯先点亮,然后依次点亮第二路、第三路、第四路; 第四路先灭,然后第三路、第二路、第一路依次灭; 四路彩灯均亮灭,共四次; 从1)开始循环。 本次实验采用中小规模集成电路进行彩灯显示系统的设计,具体使用 74LS161作为循环控制电路,74LS194控制彩灯花型显示,并用若干基本与门、非门、与非门等芯片基本逻辑电路。 关键词:四路彩灯;计数器;移位寄存器;中小规模集成电路;

目录 目录 1 需求分析错误!未定义书签。 基本功能要求错误!未定义书签。 创新拓展功能错误!未定义书签。 设计原理错误!未定义书签。 系统逻辑结构设计错误!未定义书签。 循环控制电路错误!未定义书签。 四路彩灯状态显示错误!未定义书签。 系统物理结构设计错误!未定义书签。 循环控制电路物理结构错误!未定义书签。状态显示电路物理结构错误!未定义书签。完整系统电路物理结构错误!未定义书签。 3 系统实现错误!未定义书签。 系统实现过程错误!未定义书签。 系统测试错误!未定义书签。 系统最终电路图错误!未定义书签。 系统团队分工错误!未定义书签。 4 总结错误!未定义书签。 参考文献错误!未定义书签。

1 需求分析 基本功能要求 用小规模集成电路设计并制作一个四路彩灯显示系统的要求如下: 开机自动置入初始状态后即能按规定的程序进行循环显示。 程序由三个节拍组成: 第一节拍时,四路输出Q1~Q4依次为1,使第一路彩灯先点亮,接着第二路、第三路、第四路彩灯依次点亮; 第二节拍时,Q4~Q1依次为0,使第四路先灭,然后第三路、第二路、第一路彩灯依次灭; 第三节拍时,Q1~Q4输出同时为1态,然后同时为0态,使四路彩灯同时点亮,然后同时灭,共进行4次。 每个节拍费事为4s,执行一次程序共需12s。 用发光二极管显示彩灯系统的各节拍。 创新拓展功能 对四路彩灯所在扩展如下: 增加暂停功能,即在四路彩灯显示系统工作时,可从任意状态暂停,之后可以恢复暂停时的状态,并继续工作; 增加数字显示,用两位十进制数00~11随着彩灯的变化显示12个状态。 设计原理 为保证四路彩灯系统开机后可从初始状态按规定程序进行循环演示,循环控制电路可用74LS161和74LS20实现。彩灯花型显示分为3个节拍,彩灯的三个节拍可以用移位寄存器74LS194实现。彩灯有亮、灭两个状态,此外,还需要设计时钟脉冲产生电路、循环控制电路和彩灯花样输出电路。 由设计要求出发可知彩灯的3个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现1右移、0左移、送数和通过控制控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。 由于程序循环一次要12s,故需要一个12进制的计数器控制循环。第三节拍时要求1s内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍, 而且要以相同频率控制。可以用一个十六进制计数器产生脉冲信号,一路送到控制十二进制的计数器,一路经逻辑电路送到移位寄存器。 上述原理可用图表示: 显示电路

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

四路彩灯设计实验报告

电子工艺与数字逻辑课程设计报告 班级: 姓名: 学号: 指导教师: 撰写日期:2013.06.09 肇庆学院 计算机学院软件学院

目录 第一章课程设计内容与要求分析 ................................................................................................... - 3 - 1.1任务与要求 (3) 1.2设计要求 (3) 1.3主要设计条件 (3) 第二章设计总思路........................................................................................................................... - 4 - 2.1基本原理 (4) 2.2框图 (4) 第三章单元电路设计......................................................................................................................... - 5 - 3.1时钟脉冲产生电路 (5) 3.1.1....................................................................................................................................... - 5 -3.1.2具体实现...................................................................................................................... - 5 -3.2循环控制电路 (6) 3.2.1....................................................................................................................................... - 6 -3.2.2....................................................................................................................................... - 6 -3.3彩灯花样输出电路.. (6) 3.3.1运用到74LS194功能表.............................................................................................. - 6 -3.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表..................................................................................................................................... - 7 - 第四章总电路设计......................................................................................................................... - 8 - 第五章实验、调试及测试结果分析 ............................................................................................... - 8 - 5.1结果的调试及分析 (8) 5.2调试中出现的故障、原因及排除方法 (9) 5.2.1彩灯只有一种花样变化,没有其它的花样:.......................................................... - 9 -5.2.2彩灯无规律变化:...................................................................................................... - 9 -5.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:..................................................................................................................................................... - 9 -第六章课程设计总结..................................................................................................................... - 10 - 参考文献........................................................................................................................................... - 10 - 附录................................................................................................................................................... - 10 -

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

彩灯控制器课程设计

课程设计报告 课程名称:彩灯控制器 系部:机电系 专业班级: 小组成员: 指导教师: 完成时间:2012年1月3日

《数字电子技术》课程设计报告 一、设计要求 1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。 3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。 4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 二、设计的作用、目的 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 掌握彩灯控制器的原理,彩灯是一束束用导线连接起来的并联灯泡,当接通电源后,彩灯就会工作,但里面可能是由编程或非编程的电路控制灯泡的运作的,譬如实现彩灯、闪烁、循环、时控等功能。 数列的产生可以通过计数器和逻辑门实现,而循环则需要用到时序电路控制,如触发器等,而最后可以用逻辑门把几个输出接到同一个数码管。 :三、设计的具体实现 1系统概述 1)系统框图

从课程设计要求来看,要求实现彩灯的23种状态,所以,可以用一个23进制的计数器,从0到22来控制这23种状态。 再画出这23种状态和计数器数字对应的状态图,计算出逻辑式,便可实现彩灯的控制。由于变量过多,逻辑式的化简比较困难,所以我们使用了译码器来得到最小项,直接用最小项进行连接。 题目要求实现时间间隔可调,所以使用了555定时器构成的单稳态触发器来实现此功能。 2.电路分析与设计 1. 输入调整电路设计 工作电压采用+5V直流稳压电源,它是由电源变压器、整流电路、滤波电路、稳压电路4部分构成。 图1 直流稳压电源 2. 555定时器构成的单稳态触发器 电路图2:

相关文档
相关文档 最新文档