文档库 最新最全的文档下载
当前位置:文档库 › (完整word版)计算机组成原理实验指导书汇总,推荐文档

(完整word版)计算机组成原理实验指导书汇总,推荐文档

(完整word版)计算机组成原理实验指导书汇总,推荐文档
(完整word版)计算机组成原理实验指导书汇总,推荐文档

“计算机组成原理”

实验指导书

杨伟丰编写

2014年12月

实验一算术逻辑运算实验

一、实验目的

1、掌握简单运算器的组成以及数据传送通路。

2、验证运算功能发生器(74LS181)的组合功能。

二、实验内容

运用算术逻辑运算器进行算术运算和逻辑运算。

三、实验仪器

1、ZY15Comp12BB计算机组成原理教学实验箱一台

2、排线若干

四、实验原理

实验中所用的运算器数据通路如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的两个数据输入端分别由两个锁存器(74LS273)锁存,锁存器的输入连至数据总线,数据输入开关(INPUT)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。运算器的输出经过一个三态门(74LS245)和数据总线相连。数据显示灯已和数据总线(“DATA BUS”)相连,用来显示数据总线内容。

图1-l 运算器数据通路图

图1-2中已将实验需要连接的控制信号用箭头标明(其他实验相同,不再说明)。其中除T4为脉冲信号,其它均为电平控制信号。实验电路中的控制时序信号均已内部连至相应时序信号引出端,进行实验时,还需将S3、S2、S1、S0、Cn 、M 、LDDR1、LDDR2、ALU_G 、SW_G 各电平控制信号与“SWITCH ”单元中的二进制数据开关进行跳线连接,其中ALU_G 、SW_G 为低电平有效,LDDR1、LDDR2为高电平有效。按动微动开关PULSE ,即可获得实验所需的单脉冲。

五、实验步骤

l 、按图1-2连接实验线路,仔细检查无误后,接通电源。(图中箭头表示需要接线的地

图1-2 算术逻辑运算实验接线图

2、用INPUT UNIT 的二进制数据开关向寄存器DR1和DR2置数,数据开关的内容可以用与开关对应的指示灯来观察,灯亮表示开关量为“1”

,灯灭表示开关量为“0”。以向DR1中置入11000001(

C1H )和向DR2中置入

01000011(43H )为例,具体操作步骤如下:

首先使各个控制电平的初始状态为:CLR=1,LDDR1=0,LDDR2=0,ALU_G=1,SW_G=1,S3 S2 S1 S0 M CN=111111,并将CONTROL UNIT 的开关SP05打在“NORM ”状态,然后按下图所示步骤进行。 LDDR1=0LDDR2=1T4=LDDR1=1

LDDR2=0

T4=

SW_G=0 上面方括号中的控制电平变化要按照从上到下的顺序来进行,其中T4的正脉冲是通过按动一次CONTROL UNIT 的触动开关PULSE 来产生的。

置数完成以后,检验DR1和DR2中存的数是否正确,具体操作为:关闭数据输入三态门(SW_G=1),打开ALU输出三态门(ALU_G=0),使ALU单元的输出结果进入总线。当设置S3、S2、S1、S0、M、CN的状态为111111时,DATA BUS单元的指示灯显示DR1中的数;而设置成101011时,DATA BUS单元的指示灯显示DR2中的数,然后将指示灯的显示值与输入的数据进行对比。

3、验证74LS181的算术运算和逻辑运算功能(采用正逻辑)

74LS181的功能见表1-1,可以通过改变S3 S2 S1 S0 M CN的组合来实现不同的功能,表中“A”和“B”分别表示参与运算的两个数,“+”表示逻辑或,“加”表示算术求和。

然后改变运算器的控制电平S3 S2 S1 S0 M CN的组合,观察运算器的输出,填入表1-2中,并和理论值进行比较、验证74LS181的功能。

六、实验报告

1、在显示结果后将指示灯显示的值与输入的数据进行比较;

2、完成表1-2,比较理论分析值与实验结果值,并对结果进行分析。

七、实验思考题

1、运算器的功能是什么?核心部分是什么?

实验二 进位控制实验

一、实验目的

验证带进位控制的算术运算功能发生器的功能。

二、实验内容

按给定的数据完成几种指定的算术运算。

三、实验仪器

1、ZY15Comp12BB 计算机组成原理教学实验箱 一台

2、排线 若干

四、实验原理

进位控制运算器的实验原理如图1-3所示,在实验1.1的基础上增加进位控制部分,其中74LS181的进位进入一个锁存器,其写入是由T4和AR 信号控制,T4是脉冲信号,实验时

将T4连至“SIGNAL UNIT”的TS4上。AR 是电平控制信号(低电平有效),可用于实现带进位控制实验,而T4脉冲是将本次运算的进位结果锁存到进位锁存器中。

图l-3 进位控制实验原理图

五、实验步骤

1、按图1-4连接实验线路,仔细检查无误后,接通电源。(图中箭头表示需要接线的地

图1-4 进位控制实验接线图

2、进位标志清零。具体操作方法如下:

实验板中“SWITCH ”单元中的CLR 开关为标志位CY

、ZI 的清零开关,它为0时(开关向上为1,向下为0

)是清零状态,所以将此开关做l →

0→1操作,即可使标志位CY 、ZI 清零(清零后CY 、ZI 指示灯亮)。

3、用INPUT UNIT 的二进制数据开关向DR1存入11000001,向DR2存入01000011。具体操作步骤如下:

首先使各个控制电平的初始状态为:CLR=1,LDDR1=0,LDDR2=0,ALU_G=1,AR=1,SW_G=1,S3 S2 S1 S0 M CN=111111,并将CONTROL UNIT 的开关SP05打在“NORM ”状态, SP06打在“RUN ”状态,SP03打在“STEP”状态,SP04打在“RUN ”状态。然后按下图所示步骤进行。 LDDR1=0LDDR2=1T4=LDDR1=1

LDDR2=0

T4=

SW_G=0 上面方括号中的控制电平变化要按照从上到下的顺序来进行,其中T4的正脉冲是通过按动一次CONTROL UNIT 的触动开关START 来产生的。

4、验证带进位运算及进位锁存功能。

进行带进位算术运算:前面的操作已经向DR1、DR2置数,然后关闭数据输入三态门(SW_G=1)并使LDDR2=0,打开ALU 输出三态门(ALU_G=0),使ALU 单元的输出结果进入总线,当S3 S2 S1 S0 M CN 的状态为100101时,DATA BUS 指示灯显示的数据为DR1加DR2加

当前进位标志得到的结果。这个结果是否产生进位,则要使AR=0,然后按动触动开关START,若进位标志灯CY仍然亮,表示无进位;若进位标志灯CY灭,表示有进位。

在本例中DR1为11000001,DR2为01000011,结果为00000100;当AR=0时,按动开关START,CY灭,表示有进位。

六、实验报告

记录实验数据,总结收获。

七、实验思考题

1、74LS181能提高运算速度的原因是什么?

2、在定点二进制运算器中,减法运算一般通过什么方式实现?

实验三移位运算实验

一、实验目的

验证移位控制的功能。

二、实验内容

使用一片74LS299来实现移位控制。

三、实验仪器

1、ZY15Comp12BB计算机组成原理教学实验箱一台

2、排线若干

四、实验原理

移位运算实验中使用了一片74LS299作为移位发生器,其八位输入/输出端以排针方式和总线单元连接。299_G信号控制其使能端,T4时序为其时钟脉冲,由S1 S0 M控制信号控制其功能状态,列表如下:

五、实验步骤

1、按图1-5连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,

图1-5 移位运算实验接线图

2、按照如下步骤用INPUT UNIT 的二进制数据开关把数据写入74LS299:

首先使各个控制电平的初始状态为:299_G=1,SW_G=1,S1 S0 M =111,CLR= l →0→1,并将控制台单元的开关SP05打在“NORM ”状态,SP06打在“RUN ”状态,SP03打在“STEP”状态,SP04打在“RUN ”状态。然后按下图所示步骤进行。

SW_G=0

S0=1S1=1T4=

SW_G=1

上面方括号中的控制电平变化要按照从上到下的顺序来进行,其中T4的正脉冲是通过按动一次CONTROL UNIT 的触动开关START 来产生的。

3、参照前面的表格1-3,改变S0 S1 M 299_G 的状态,按动触动开关START ,观察移位结果。

六、实验报告

对照表1-3,列表记录移位结果。

七、实验思考题

1、本实验用到的移位发生器是什么?其功能表是什么?

实验四存储器实验

一、实验目的

1、掌握静态随机存储器RAM工作特性;

2、掌握静态随机存储器RAM的数据读写方法。

二、实验内容

运用静态随机存储器RAM进行单步读、写和连续写数据。

三、实验仪器

1、ZY15Comp12BB计算机组成原理教学实验箱一台

2、排线若干

四、实验原理

实验所用的半导体静态存储器电路原理如图1-6所示,实验中的静态存储器由一片6116

实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插针中,其它电平控制信号由“SWITCH ”单元的二进制开关给出,其中SW_G 为低电平有效,LDAR 为高电平有效。

五、实验步骤

1、形成时钟脉冲信号T3,具体接线方法和操作步骤如下:

(1) 将SIGNAL UNIT 中的CLOCK 和CK ,TS3和T3用排线相连。 (2) 将SIGNAL UNIT 中的两个二进制开关 “SP03”设置为“RUN ”状态、“SP04”设置为“RUN ”状态(当“SP03”开关设置为“RUN ”状态、“SP04”开关设置为“RUN ”状态时,每按动一次触动开关START ,则T3的输出为连续的方波信号。当“SP03”开关设置为“STEP ”状态、“SP04”开关设置为“RUN ”状态时,每按动一次触动开关START ,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。)

2、按图1-7连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,

接到DATA BUS

接到ADDR BUS

图1-7 存储器实验接线图

3、给存储器的00、01、02、03、04地址单元中分别写入数据11、22、33、4

4、55,具体操作步骤如下:(以向00号单元写入11为例)

首先使各个控制电平的初始状态为:SW_G=1,CE=1,WE=1,LDAR=0,CLR= l →0→1,并将CONTROL UNIT 的开关SP05打在“NORM ”状态,然后按下图所示步骤进行操作。图中方括号中的控制电平变化要按照从上到下的顺序来进行,其中T3的正脉冲是通过按动一次CONTROL UNIT 的触动开关START 来产生的,而WE 的负脉冲则是通过让SWITCH 单元的WE 开

关做l →0→1变化来产生的。

SW_G=1LDAR=0

SW_G=0

LDAR=1T3=

SW_G=0

CE=0WE=

4、依次读出第00、01、02、03、04号单元中的内容,在DATA BUS 单元的指示灯上进行显示,观察上述各单元中的内容是否与前面写入的一致。具体操作步骤如下:(以从00号单元读出

11

数据为例)

CE=1WE=1SW_G=1SW_G=0T3=

SW_G=1LDAR=0CE=0

LDAR=1

其中AR 的值在ADDR BUS 单元的指示灯上显示,RAM 相应单元的值在DATA BUS 单元的指示灯上显示。

六、实验报告

1、按实验内容进行单步读、写、连续写。着重写明各开关的状态,并按先后顺序写明操作步骤;

2、将存储器的地址和其对应的数据列表记录。

七、实验思考题

1、静态存储器是靠什么存储信息?动态存储器又是靠什么存储信息?

2、静态存储器和动态存储器的优缺点?

实验五总线控制实验

一、实验目的

1、理解总线的概念及其特性;

2、掌握总线传输控制特性。

二、实验内容

改变输入寄存器的地址值,在输出单元的数码管上会显示出该地址下的存储器RAM的值。

三、实验仪器

1、ZY15Comp12BB计算机组成原理教学实验箱一台

2、排线若干

四、实验原理

总线是多个系统部件之间进行数据传送的公共通路,是构成计算机系统的骨架。借助总线连接,计算机在系统各部件之间实现传送地址、数据和控制信息的操作。因此,所谓总线就是指能为多个功能部件服务的一组公用信息线。

总线传输实验框图如图1-8所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可实现总线信息传输。

图1-8 总线示意图

五、实验步骤

1、根据挂在总线上的几个基本部件,设计一个简单的流程:

(1)输入设备将一个数写入地址寄存器。

(2)输入设备将另一个数写入到存储器的当前地址单元中。

(3)将存储器当前地址单元中的数用LED数码管显示。

2、按照图1-9实验接线图进行连线,仔细检查无误后,接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)。

1-9 总线控制实验接线图

3、具体操作步骤图示如下:

首先使各个控制电平的初始状态为:SW_G=1

,CE=1,WE=1,LDAR=0,299_G (LED_G )=1

,PC_G (WE )=1,CLR= l →0→1,并将CONTROL UNIT 的开关SP05打在“NORM ”状态,然后按下图所示步骤进行。图中方括号中的控制电平变化要按照从上到下的顺序来进行,其中LDAR 的正脉冲是通过让SWITCH 单元的LDAR 开关做0→

1→

0变化来产生的,而WE 和PC_G (WE )的负脉冲则是通过让SWITCH 单元的WE 和PC_G 开关做1→

0→1变化来产生的。

SW_G=0SW_G=1LDAR=SW_G=0

CE=1SW_G=1

WE=

CE=0CE=0

299_G(LED_G)=0 PC_G(WE)=

完成上述操作后,在OUTPUT UNIT 的数码管上观察结果。

六、实验报告

改变寄存器的地址值,列表记录存储器的RAM值。

七、实验思考题

1、什么叫总线?总线控制的方式有哪些?

2、画出单总线结构示意图。

实验六时序实验

一、实验目的

1、掌握时序产生器的组成原理和设计思想,提高对基本逻辑部件的分析和设计能力;

2、观察、分析和测量实验箱的控制时序,提高实际动手能力;

3、增加对系统时序的理解,进一步深化理解计算机的工作原理。

二、实验内容

通过联机软件的示波器观察控制时序。

三、预备知识

1、复习有关时序电路的内容;

2、弄清实验电路中各部分之间的关系以及信号之间的逻辑关系;

3、掌握联机软件的使用方法,参见附录2。

四、实验仪器

1、ZY15Comp12BB计算机组成原理教学实验箱一台

2、排线若干

3、8芯鳄鱼夹线一根

4、PC机一台

五、实验原理

实验所用的时序电路原理如图1-10所示,可产生4个相位等间隔的时序信号TS1—TS4,其中CK为时钟信号,由实验台右上方的方波信号源提供,可产生频率可调的方波信号。实验者可自行选择方波信号的频率(通过调节电位器RW1)。为了便于控制程序的运行,时序电路发生器设置了一个启停控制触发器,使TS1一TS4信号输出可控。图中STEP(单步)、STOP (停机)分别是来自实验板SIGNAL UNIT二进制开关SP03、SP04的状态。START信号来自实验板CONTROL UNIT的一个微动开关START的按键信号。当SP03、SP04开关状态都为RUN时,一旦按下启动键,运行触发器一直处于“1”状态,即原理图中P17一直为“1”,因此时序信号TS1—TS4将周而复始地发送出去。当SP03为1(STEP)时,一旦接下启动键,机器便处于单步运行状态。此时只发送一个微指令周期的时序信号就停机。

图1-10时序电路原理图

六、实验步骤

1、首先按照图1-11进行接线,用8芯鳄鱼夹线将输出信号引入示波器的输入通道。将SP03和SP04开关的状态均设为“RUN”状态,按动START触动开关,时序信号TS1—TS4将周而复始地发送出去。

2、联机并用联机软件的示波器功能来观察输出波形,这时用联机软件的示波器功能就可以观察到时序信号,将该信号与图1—12所示波形对比(软件的具体使用方法见附录2中的软件操作说明)。通过调节RW1可以使输出波形的频率在100Hz到300Hz之间变化。(注意:开关单元的拨位开关CLK置为高电平,若采样有失真时请把采样频率调高一些。)

图1-11 时序实验接线图

图1-12时序波形参考图

七、实验报告

1、绘出实验中观察到的波形图;

2、给出CK的频率,说明:CK与TS1、TS2、TS

3、TS4之间的关系。

八、实验思考题

1、在示波器上如何确定工作脉冲的先后关系?

实验七微程序控制器的组成与微程序设计实验

一、实验目的

1、掌握微程序控制器的组成原理;

2、掌握微程序的编制、写入,观察微程序的运行;

3、为整机实验打好基础。

二、实验内容

编制微程序并观察其运行过程。

三、实验仪器

1、ZY15Comp12BB计算机组成原理教学实验系统一台

2、排线若干

四、实验原理

实验所用的时序电路原理可以参考时序实验。由于时序电路的内部线路已经连好(时序电路的CLR已接到实验板中下方的CLR清零开关上),所以只需将时序电路与方波信号源连接即可。

1、微程序控制电路

微程序控制器的组成见图1-13。其中控制存储器采用3片2816 E2PR0M,具有掉电保护功能。微命令寄存器18位,用两片8D触发器(74LS273)和一片4D(74LS175)触发器组成。微地址寄存器6位,用三片上升沿触发的双D触发器(74LS74)组成,它们带有清“0”端和置“1”端。在不进行判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行判别测试时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器设置为“1”状态,完成地址修改。

在该实验电路中,在CONTROL UNIT有一个编程开关SP06,它具有三种状态:WRITE(编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门74LS245,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。

2、微指令格式

微指令字长24位,其控制位顺序如下:

计算机组成原理通信实验(实验箱实验)

北京科技大学计算机与通信工程学院 实验报告 实验名称:计算机组成原理实验(实验箱实验) 学生姓名:乐欣怡 专业:信息安全1401 班级:信息安全1401 学号:41459007 指导教师:阿孜古丽 实验成绩:_______________________________ 实验地点: 实验时间:2016年月日

一、实验目的与实验要求 1、实验目的 通过实验箱上的验证实验和设计实验充分了解并掌握运算器的组成结构及其工作原理;控制器的设计与实现方法;存储器及层次存储体系的概念及工作原理;输入/输出系统及工作方式,以及各基本部件如何有机连接构成整体的计算机系统。培养学生对计算机系统的分析、设计、开发和使用能力,使学生能够对计算机软、硬件的基本知识有深刻的理解,为后续自行设计模型机打下基础。 2、实验要求 1)由于在有限的实验课内学时难以较好完成所有实验内容,因此要求在实验课前进行预习,自主完成部分实验或实验的部分内容(包括代码设计); 2)完成的每个实验需要在实验课内经指导教师现场检查、查看编写的程序代码以及测试运行情况,回答指导教师提出的问题,以确认实验实际完成的质量; 3)代码应有适当的注释,并在实验报告中体现;仿真实现的设计需要有仿真波形截图。 二、实验设备(环境)及要求 OS: Software: 三、实验内容、步骤与结果分析 3、实验3 微程序控制器 3.1实验内容 2.4.1微程序控制器实验 (1)掌握微程序控制器的原理; (2)理解条件转移对计算机的重要性。 3.2主要步骤及要求 1、实验要求 (1)正确设置模式开关 OP4-OP0,用单微指令方式(单步开关 STEP 设置为 1)跟踪控 制台操作读寄存器、写寄存器、读存储器、写存储器的执行过程,记录下每一步的微地址μA5~μA0、判别位 R4~R0 和有关控制信号的值,写出这 4 种控制台操作的作用和使用方法。 (2)正确设置指令操作码 IR7~IR4,用单微指令方式跟踪除停机指令 STP 之外的所有指

计算机组成原理实验1-汇编语言实验

微处理器与接口技术 实验指导

实验一监控程序与汇编语言程序设计实验 一、实验要求 1、实验之前认真预习,明确实验的目的和具体实验内容,设计好主要的待实验的程序,做好实验之前的必要准备。 2、想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果。 3、在教学实验过程中,要爱护教学实验设备,认真记录和仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4、实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 二、实验目的 【1】学习和了解TEC-XP16教学实验系统监控命令的用法; 【2】学习和了解TEC-XP16教学实验系统的指令系统;

【3】学习简单的TEC-XP16教学实验系统汇编程序设计。 三、实验注意事项 (一)实验箱检查 【1】连接电源线和通讯线前TEC-XP16实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP16实验系统上的芯片和PC机的串口造成损害。 【2】五位控制开关的功能示意图如下: 【3】几种常用的工作方式【开关拨到上方表示为1,拨到下方为0】 (二)软件操作注意事项 【1】用户在选择串口时,选定的是PC机的串口1或串口2,而不是TEC-XP16实验系统上的串口。即选定的是用户实验时通讯线接的PC机的端口; 【2】如果在运行到第五步时没有出现应该出现的界面,用户需要检查是不是打开了两个软件界面,若是,关掉其中一个再试; 【3】有时若TEC-XP16实验系统不通讯,也可以重新启动软件或是重新启动PC再试; 【4】在打开该应用软件时,其它的同样会用到该串口的应用软件要先关掉。

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理实验报告

福建农林大学计算机与信息学院信息工程类实验报告系:计算机科学与技术专业:计算机科学与技术年级: 09级 姓名:张文绮学号: 091150022 实验课程:计算机组成原理 实验室号:___田405 实验设备号: 43 实验时间:2010.12.19 指导教师签字:成绩: 实验一算术逻辑运算实验 1.实验目的和要求 1. 熟悉简单运算器的数据传送通路; 2. 验证4位运算功能发生器功能(74LS181)的组合功能。 2.实验原理 实验中所用到的运算器数据通路如图1-1所示。其中运算器由两片74181

以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图1-2中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT 的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZYE1603B计算机组成原理教学实验系统一台,排线若干。 4.操作方法与实验步骤

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

上海大学计算机学院计算机组成原理实验报告6p

上海大学 计算机学院 《计算机组成原理实验》报告十 实验名称:中断机制和应用(综合实验) 一、 实验目的 1. 学习实验箱感知中断的硬件结构和工作原理。 2. 学习使用中断系统。 3. 学习使用扩展外设。 二、实验原理 1. 程序中断:因“随机性”原因,使一个程序暂停执行,转而执行另一个程序,以处理随机事件,然后再返回原程序继续执行的过程成为“中断”。 中断发生的时间是随机的(不可预知,但发生后应该如何处理是安排好的),中断发生的“随机性”决定了“必须用硬件感知中断请求”、“不仅要保存断点,还必须保存现场”。 2. 实验箱的中断感知硬件:当执行取指微指令时,IREN =0,于是②号或门输出0,这时①号“或门”对IREQ 的Q 端开放,若有中断请求就会在这时被CPU 感知。所以无论中断请求在何时提出,都只能在取指阶段被感知!当 ①号“或门”输出0时中断被感知,同时这个低电平使IACK 的SD 有效,迫使其Q 端输出1,ACK 灯亮,并使②号“或门”对IREN 关闭并输出1;这个1又使①号“或门”对中断请求关闭并输出1,这个1又返回IACK 的SD 端,使IACK 保持Q =1的状态。所以系统进入中断服务子 程序后,ACK 灯保持亮,且不响应新的中断请求(仅一级中断)。 3. ICOE 向下经“与门”控制PC +1信号,ICOE 的另一个作用是通过③号“或门”控制EM 中指令的输出,保证在输出中断指令B8H 时,EM 不输出。 4. 5.试验箱外扩系统 6.74LS08结构俯视图 三、实验内容 1. 用74LS 08芯片搭建当电键K1和K2都为1时不产生中断请求信号的外部电路。 2. 编制中断服务子程序使OUT 交替显示AA 、BB 三次后返回源程序。源程序为实验七完成的交替显示11和55的程序。 (1). 运行上述程序,在完成AA 、BB 交替显示三次之前恢复K1K2都为1的状态。记录OUT 显示的现象、REQ 灯和ACK 灯的情况以及ST 寄存器的值及改变情况。 (2). 运行上述程序,在完成AA 、BB 交替显示时不恢复K1K2都为1的状态。记录OUT 显示的现象、REQ 灯和ACK 灯的情况以及ST 寄存器的值及改变情况。R2记录主程序延迟时间,R1与主程序显示同步。分析上述二种显示现象的原因。 四、实验步骤 上海大学计算机学院 计算机组成原理实验报告 【最新资料,WORD 文档,可编辑修改】

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

计算机组成原理实验指导书

计算机组成原理 实验报告 学号: 姓名: 提交日期: 成绩: 计算机组成原理实验报告 Computer Organization Lab Reports ______________________________________________________________________________ 班级: ____ 姓名:____学号:_____ 实验日期:____

一.实验目的 1. 熟悉Dais-CMX16+达爱思教仪的各部分功能和使用方法。 2. 掌握十六位机字与字节运算的数据传输格式,验证运算功能发生器及进位控制的组合功能。了解运算器的工作原理。 3. 完成算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。 ______________________________________________________________________________二.实验环境 Dais-CMX16+达爱思教仪 ______________________________________________________________________________三.实验原理 实验中所用的运算器数据通路如图1-1所示。ALU运算器由CPLD描述。运算器的输出经过2片74LS245三态门与数据总线相连,2个运算寄存器AX、BX的数据输入端分别由4个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。 图1-1 运算器数据通路 图1-1中,AXW、BXW在“搭接态”由实验连接对应的二进制开关控制,“0”有效,通过【单拍】按钮产生的负脉冲把总线上的数据打入,实现AXW、BXW写入操作。 表1-1 ALU运算器编码表 算术运算逻辑运算 M M13 M12 M11 功能M M13 M12 M11 功能 M S2 S1 S0 M S2 S1 S0 0 0 0 0 A+B+C 1 0 0 0 读B 0 0 0 1 A—B —C 1 0 0 1 非A 0 0 1 0 RLC 1 0 1 0 A-1

计算机组成原理实验报告书

《计算机组成原理》 实验报告 学院: ______________ 专业:_______________ 班级:______________ 学号:______________ 姓名:______________

目录 1 软件的安装、测试与使用 (3) 2 基本汇编指令应用 (8) 3 脱机运算器实验 (16) 4 存储器部件教学实验 (18) 5 I/O口扩展实验 (22) 6 中断实验 (26)

1 软件的安装、测试与使用 实验目的: 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-XP教学实验系统的指令系统; 实验内容: 1.学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC. 2. 熟悉实验箱三种工作模式:仿真、脱机、联机 实验要求 在使用该教学机之前,应先熟悉教学机的各个组成部分,及其使用方法。 实验步骤 一.实验具体操作步骤: 1.准备一台串口工作良好的PC机; 2.将TEC-XP放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3.将黑色的电源线一端接220V交流电源,另一端插在TEC-XP实验箱的电源插座里; 4.取出通讯线,将通讯线的9芯插头接在TEC-XP实验箱上的串口“COM1”或“COM2” 上,另一端接到PC机的串口上; 5.将TEC-XP实验系统左下方的五个黑色的控制机器运行状态的开关置于正确的位置,在找个实验中开关应置为00110(连续、内存读指令、组合逻辑、联机、16位),控制开 关的功能在开关上、下方有标识;开关拨向上方表示“1”,拨向下方表示“0”, “X”表示任意,其它实验相同; 6.打开电源,船形开关和5V电源指示灯亮。 7.在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机的串口为“1”或“2”, 其它的设置一般不用改动,直接回车即可。(具体步骤附后) 8.按一下“RESET”按键,再按一下“START”按键,主机上显示: TEC-2000 CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab., Tsinghua University Programmed by He Jia > 二、实验注意事项:

计算机组成原理实验1.

计算机组成原理实验1 运算器(脱机)实验 通过开关、按键控制教学机的运算器执行指定的运算功能,并通过指示灯观察运算结果。实验原理: 为了控制Am2901运算器能够按照我们的意图完成预期的操作功能,就必须向其提供相应的控制信号和数据。 控制信号包括 1、选择送入ALU的两路操作数据R和S的组合关系(实际来源)。 2、选择ALU的八种运算功能中我们所要求的一种。这可通过提供三位功能选择码I5、 I4、I3实现。 3、选择运算结果或有关数据以什么方式送往何处的处理方案,这主要通过通用寄存器 组合和Q寄存器执不执行接收操作或位移操作,以及向芯片输出信息Y提供的是 什么内容。这是通过I8、I7、I6三位结果选择码来控制三组选择门电路实现的。 外部数据包括 1、通过D接收外部送来的数据 2、应正确给出芯片的最低位进位输入信号C n 3、关于左右移位操作过程中的RAM3、RAM0、Q3和Q0的处理。 4、当执行通用寄存器组的读操作时,由外部送入的A地址选中的通用寄存器的内容送 往A端口,由B地址选中的通用寄存器的内容送往B端口,B地址还用作通用寄 存器的写汝控制。 对于芯片的具体线路,需说明如下几点: 1、芯片结果输出信号的有无还受一个/OE(片选)信号的控制。 2、标志位F=0000为集电极开路输出,容易实现“线与”逻辑,此管脚需经过一个电阻 接到+5V。 3、RAM3、RAM0、Q3和Q0均为双向三态逻辑,一定要与外部电路正确连接。 4、通用寄存器组通过A端口、B端口读出内容的输出处均有锁存器线路支持。 5、该芯片还有两个用于芯片间完成高速进位的输出信号/G和/P。 6、Am2901芯片要用一个CLK(CP)时钟信号作为芯片内通用寄存器、锁存器和Q寄 存器的打入信号。 实验步骤如下: (1)选择运算器要完成的一项运算功能,包括数据来源,运算功能,结果保存等;(2)需要时,通过数据开关向运算器提供原始数据; (3)通过24位的微型开关向运算器提供为完成指定运算功能所需要的控制信号; (4)通过查看指示灯或用电表量测,观察运算器的运行结果(包括计算结果和特征标志)。实验准备 12为微型开关的具体控制功能分配如下: A口和B口地址:送给Am2901器件用于选择源与目的操作数的寄存器编号; I8~I0:选择操作数来源、运算操作功能、选择操作数处理结果和运算器输出内容的3组3位控制码; Sci,SSH和SST:用于确定运算器最低位的进位输入、移位信号的入/出和怎样处理Am2901产生的状态标志位的结果。

计算机组成原理实验五参考

实验五CPU组成与机器指令执行实验 第一步,对机器指令系统组成的简单程序进行译码。 第二步,接线 本实验的接线比较多,需仔细。 1.将跳线开关J1用短路子短接。时序发生器的输入TJI接控制存储器的输出TJ。控制器的输入C接运算器ALU的C。控制器的输入IR7、IR6、IR5、IR4依次指令寄存器IR的输出IR7、IR6、IR5、IR4。共6条线。

2.控制器的输出LDIR(CER)、LDPC(LDR4)、PC_ADD、PC_INC、M4、LDIAR、LDAR1(LDAR2)、AR1_INC、M3、LDER、IAR_BUS#、SW_BUS#、RS_BUS#、ALU_BUS、CEL#、LRW、WRD、LDDR1(LDDR2)、M1(M2)、S2、S1、S0 依次与数据通路的对应信号连接。共27条线。

3.指令寄存器IR的输出IR0接双端口寄存器堆的RD0、WR0,IR1接RD1、WR1,IR2接RS0,IR3接RS1。共6条线。 合上电源。按CLR#按钮,使实验系统处于初始状态。 第三步,利用控制台微程序KLD设置通用寄存器R2、R3的值 在本操作中,我们打算使R2 = 60H,R3 = 61H。 1.令DP = 0,DB = 0,DZ =0,使实验系统处于连续运行状态。令SWC = 0、SWB = 1、SWA = 1,使实验系统处于寄存器加载工作方式KLD。按CLR#按钮, 使实验系统处于初始状态。 2.在SW7—SW0上设置一个存储器地址,该存储器地址供设置通用寄存器使用。 该存储器地址最好是不常用的一个地址,以免设置通用寄存器操作破坏重要的存储 器单元的内容。例如可将该地址设置为0FFH。按一次QD按钮,将0FFH写入AR1 和AR2。

计算机组成原理实验

计算机组成原理 实验报告 学院(系):软件学院 专业:软件设计 班级:软件设计一班 学号:1415925365 姓名:沈烨 2016年11月24日

实验1 Cache模拟器的实现 一.实验目的 (1)加深对Cache的基本概念、基本组织结构以及基本工作原理的理解。 (2)掌握Cache容量、相联度、块大小对Cache性能的影响。 (3)掌握降低Cache不命中率的各种方法以及这些方法对提高Cache性能的好处。 (4)理解LRU与随机法的基本思想以及它们对Cache性能的影响。 二、实验内容和步骤 1、启动CacheSim。 2、根据课本上的相关知识,进一步熟悉Cache的概念和工作机制。 3、依次输入以下参数:Cache容量、块容量、映射方式、替换策略和写策略。 4、读取cache-traces.zip中的trace文件。 5、运行程序,观察cache的访问次数、读/写次数、平均命中率、读/写命中率。思考:1、Cache的命中率与其容量大小有何关系? Cache 的容量与块长是影响cache效率的重要因素; Cache 容量越大,其CPU命中率就越高,当然容量过大,增加成本,而且cache 容量达到一定值时,命中率已不因容量的增加而又明显的提高; 2、Cache块大小对不命中率有何影响? Cache 当块由小到大,在已被访问字的附近,近期也可能访问,增大块长,可将更多有用字存入缓存,提高命中率;但是继续增大块长,命中率可能下降,因为所装入缓存的有用数据反而少于被替换掉的有用数据,由于块长增大,块数减少,装入新的块要覆盖旧块,很可能出现少数块刚装入就被覆盖,故命中率可能下降; 3、替换算法和相联度大小对不命中率有何影响? 替换算法中:LRU算法的平均命中率比FIFO的高 LRU算法比较好地利用访存局部性原理,替换出近期用得最少的字块,它需要随时记录cache 各个字块使用情况。FIFO不需要记录各个字块的使用情况,比较容易实现开销小,但是没有根据访存的局部性原理,最早调入的信息可能以后还要用到,或经常用到例如循环程序; Cache 容量一定时,随着相联度的不断增加,不命中率渐渐减小,但是当相连度增加到一定程度时,不命中率保持不变;

计算机组成原理实验五参考

计算机组成原理实验五 参考 文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

实验五 CPU组成与机器指令执行实验 第一步,对机器指令系统组成的简单程序进行译码。 地址指令机器代码 00H LDAR0,[R2]58H 01H LDA R1,[R3]5DH 02H ADD R0,R104H 03H JC +596H 04H AND R2,R33EH 05H SUB R3,R21BH 06H STA R3,[R2]4BH 07H MUL R0,R124H 08H STP60H 09H JMP [R1]84H 第二步,接线 本实验的接线比较多,需仔细。 1.将跳线开关J1用短路子短接。时序发生器的输入TJI接控制存储器的输出TJ。控制器的输入C接运算器ALU的C。控制器的输入IR7、IR6、IR5、IR4依次指令寄存器IR的输出IR7、IR6、IR5、IR4。共6条线。 2.控制器的输出LDIR(CER)、LDPC(LDR4)、PC_ADD、PC_INC、M4、LDIAR、

LDAR1(LDAR2)、AR1_INC、M3、LDER、IAR_BUS#、SW_BUS#、RS_BUS#、 ALU_BUS、CEL#、LRW、WRD、LDDR1(LDDR2)、M1(M2)、S2、S1、S0 依次与数据通路的对应信号连接。共27条线。 3.指令寄存器IR的输出IR0接双端口寄存器堆的RD0、WR0,IR1接RD1、WR1,IR2接RS0,IR3接RS1。共6条线。 合上电源。按CLR#按钮,使实验系统处于初始状态。 第三步,利用设置通用寄存器R2、R3的值

上海大学计算机组成原理实验报告材料(全)

《计算机组成原理实验》报告一 时间 2015.12.17 地点行健楼 609机房 一.数据传送实验 1.实验容及要求 在试验箱上完成以下容: 将58H写入A寄存器。 将6BH写入W寄存器。 将C3H写入R1寄存器。 2.实验环境 本实验箱用74HC574(8D型上升沿触发器)构成各种寄存器。 3.实施步骤或参数 ①注视仪器,打开电源,手不要远离电源开关,随时准备关闭电源,注意各数码管、发光管的稳定性,静待10秒,确信仪器稳定、无焦糊味。 ②设置实验箱进入手动模式。 ③ K2接AEN,K1和K2接EX0和EX1,设置K2K1K0=010,设置K23~K16=0101 1000。 ④注视A及DBUS的发光管,按下STEP键,应看到CK灯灭、A旁的灯亮。记住看到的

实际显示情况。 ⑤放开STEP键,应看到CK灯亮、A寄存器显示58。记住看到的实际情况。 ⑥重复上述实验步骤,在做6BH时,K2接WEN,K1和K2接EX2和EX3,设置K2K1K0=010,设置K23~K16=0110 1011;重复上述实验步骤,在做C3H时,K2接RWR,K1和K2接SB和SA,设置K2K1K0=001,设置K23~K16=1010 0011。 ⑦关闭实验箱电源。 4.测试或者模拟结果 A寄存器显示58,W寄存器显示6B,R1寄存器显示C3,完成实验目的。 5.体会 本次实验相对简单,只需要三根线便可以完成整个实验,但是,今天认识了实验箱,并且在老师的带领下较为完整的认识了整个试验箱,还是很开心的,今后实验箱将是我们学习计算机组成原理的重要工具,也是我们的好朋友。 《计算机组成原理实验》报告二 时间 2015.12.24 地点行健楼 609机房

计算机组成原理实验1-5(2017-2018-1)

实验电路结构图 NO.0 实验电路结构图 HEX PIO2PIO3PIO4PIO5PIO7PIO6D1 D2 D3 D4 D5 D6 D7 D8 D16 D15 D14 D13 D12 D11 数码1 数码2 数码3 数码4 数码5 数码6 数码7 数码8 S P E A K E R 扬声器 译码器译码器译码器译码器译码器译码器译码器译码器 FPGA/CPLD PIO15-PIO12 PIO11-PIO8PIO7--PIO2HEX 键1 键2 键3键4键5键6键7键8PIO47-PIO44 PIO43-PIO40PIO39-PIO36PIO35-PIO32PIO31-PIO28PIO27-PIO24PIO23-PIO20PIO19-PIO16目标芯片 附图2 实验电路结构图NO.0 附图3 实验电路结构图NO.1

êμ?éμ??·?á11í? NO.3 ò????÷ ò????÷ò????÷ò????÷ò????÷ò????÷ò????÷ò????÷D9 D16D15D14D13D12D11D10 D8D7D6D5D4D3D2D1PIO8 PIO9 PIO10 PIO11 PIO12 PIO13 PIO14 PIO15 S P E A K E R ??éù?÷ 1 2345678??±êD???FPGA/CPLD PIO0 PIO1PIO2PIO3PIO4PIO5PIO6PIO7?ü1 ?ü2?ü3?ü4?ü5?ü6?ü7?ü8PIO15-PIO8PIO47-PIO44 PIO43-PIO40PIO39-PIO36PIO35-PIO32PIO31-PIO28PIO27-PIO24PIO23-PIO20PIO19-PIO16 附图4 实验电路结构图NO.2 附图5 实验电路结构图NO.3 D16D15D14D13D12D11D9D8PIO47 D7PIO46 D6PIO45 D5PIO44 D4PIO43 D3PIO42 D2PIO41 PIO40 D1NO.7 实验电路结构图 S P E A K E R 扬声器 FPGA/CPLD 目标芯片1 2 3 4 5 6 7 8 PIO0 PIO2PIO3PIO4PIO5PIO6PIO7单脉冲 单脉冲单脉冲键1 键2 键3 键4 键5 键6 键7 键8 PIO47-PIO40PIO39-PIO36 PIO35-PIO32PIO31-PIO28PIO27-PIO24PIO23-PIO20PIO19-PIO16 译码器译码器译码器译码器译码器 译码器 附图6 实验电路结构图NO.4 附图9 实验电路结构图NO.7

相关文档
相关文档 最新文档