文档库 最新最全的文档下载
当前位置:文档库 › 沈阳理工大学EDA报告

沈阳理工大学EDA报告

沈阳理工大学EDA报告
沈阳理工大学EDA报告

目录

1 设计目的 (1)

2 设计要求和任务 (1)

3 总体设计思路及原理描述 (1)

4 分层次方案设计及代码描述 (3)

5 各模块的时序仿真图 (10)

6 总结 (12)

7 参考文献 (13)

I

1设计目的

熟练掌握EDA技术利用计算机方面的课程解决专业课程方面点具体问题,达到解决问题,完成课程设计任务,培养实践的目的。

2设计要求和任务

利用所学的EDA设计方法设计彩灯控制器,熟练使用使用QUARTUSII 应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。

功能要求:

1.要有多种花型变化(至少4种).

2.多种花型可以自动变换,循环往复.

3.彩灯变换的快慢节拍可以选择.

4.具有清零开关.

3 总体设计思路及原理描述

3.1功能描述

在电路中以 1 代表灯亮,以 0 代表灯灭,由 0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。下面就以一个十六路彩灯控制系统的实现为例进行简单说明。此十六路彩灯控制系统设定有六种花样变化 ,这六种花样可以进行自动切换 ,并且每种花样可以选择不同的频率。

3.2设计原理

用VHDL进行设计 ,首先应该了解 ,VHDL语言一种全方位硬件描述语言 ,包括系统行为级 ,寄存传输级和逻辑门级多个设计层次。应充分利用DL “自顶向下”的设计优点以及层次化的设计概层次概念对于设计复杂的数字系统是非常有用它使得人们可以从简单的单元入手 ,逐渐构成庞大而复杂的系统。

首先应进行系统模块的划分 ,规定每一模块的功能以及各个模块之间的接口。最终设计方案为:以一个十六路彩灯花样控制器、一个四频率输出分频器 ,一个四选一控制器和一个时间选择器总共四部分来完成设计。四选一控制器从分频器选择不同频率的时钟信号输送到彩灯花样控制器 ,从而达到控制彩灯闪烁速度的快慢 ,时间选择器控制每种速度维持的时间长短。整个十六路彩灯控制系统设计的模块图如图 1所示

图一

4分层次方案设计及代码描述

4.1子模块及其功能

本次设计分为四个子模块 ,即十六路彩灯花样控制器、四频率输出分频器 ,四选一控制器和时间选择器 ,其子模块及其功能如下:

4.1.1四频率输出分频器

在本次设计中 ,设计了六种花样 ,要求这六种花样以不同的频率显示 ,而只有一个输入的时钟信号 ,所以对所输入的时钟信号进行 2 分频 ,4 分频 ,8分频 ,16分频 ,得到四种频率信号 ,CLKDIV模块用来完成此功能。

4.1.2时间选择器

时间选择器实际上是两个分频器 ,其中一个频率是另一个频率的两倍。本来这两个分频器是可以在上述的四频率输出器中实现的 ,但为了方便地为四选一控制器提供不同的时间选择条件 ,就将这两个分频器独立开来。这两个输出的时钟信号组合起来就可以为四选一控制器提供 00,01,10,11 四个时间选择条件 ,如

下图三所示。

图二

4.1.3四选一控制器

四选一控制器功能是从分频器中选择不同频率的时钟信号送给彩灯控制器 ,实现彩灯闪烁的频率变化。

4.1.4彩灯控制器

彩灯控制电路是整个设计的核心 ,它负责整个设计的输出效果即各种彩灯图案的样式变化。该程序充分地说明了用 VHDL设计电路的“弹”性 ,即可通过改变程序中输出变量 Q 的位数来改变彩灯的数目。其中 ,P1进程对灯闪的速度控制有两种方式可改变灯闪的速度:一是改变外部时钟的赋值 ,二是改变信号U 的位数。P2进程能进行彩灯的图案控制 ,改变 s的位数即可改变要控制图案的数目 ,改变输出变量 Q 的组合即可变幻彩灯图案。

最后 ,当各个模块均完成上述操作之后 ,即可利用MAXPLUS2的原理图输入 ,调用各个元器件(底层文件) ,以原理图的形式形成最后的十六路彩灯显示系统(顶层文件) ,并且进行仿真。仿真通过 ,即可下载到指定的 CPLD芯片里面 ,

并进行实际连线 ,进行最后的硬件测试。当然 ,可以将各个模块所生成的元件符号存放在元件库中 ,用以被其它人或其它的设计所重复调用 ,以简化后面的设计。

4.2 代码描述

4.2.1 时序控制电路部分

程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity timecontrol is --定义实体

port(

clk: in std_logic; --时钟信号

clr: in std_logic; --复位信号

opt: in std_logic; --快慢控制信号

clkout: out std_logic --输出时钟信号

);

end timecontrol;

architecture one of timecontrol is

signal clk_tmp: std_logic;

signal counter: std_logic_vector(1 downto 0); --定义计数器

begin

process(clk,clr,opt)

begin

if clr='0' then --清零

clk_tmp<='0';

counter<="00";

elsif clk'event and clk='1' then

if opt='0' then --四分频 if counter="01" then

counter<="00";

clk_tmp<=not clk_tmp;

else

counter<=counter+'1';

end if;

else --八分频 if counter="11" then

counter<="00";

clk_tmp<=not clk_tmp;

else

counter<=counter+'1';

end if;

end if;

end if;

end process;

clkout<=clk_tmp; --输出分频后的信号

end architecture one;

4.2.2显示控制电路部分

程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity showcontrol is

port(

clk: in std_logic; --输入时钟信号

clr: in std_logic; --复位信号

led: out std_logic_vector(15 downto 0)); --彩灯输出

end showcontrol;

architecture one1 of showcontrol is

type states is --状态机状态列举

(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15); signal state: states;

begin

process(clk,clr)

begin

if clr='0'then

state<=s0;

led<="0000000000000000";

elsif clk'event and clk='1'then

case state is

when s0=>state<=s1;

when s1=> state<=s2;

led<="0001000100010001";

when s2=> state<=s3;

led<="0010001000100010";

when s3=> state<=s4;

led<="0011001100110011";

when s4=> state<=s5;

led<="0100010001000100";

when s5=>state<=s6;

led<="0101010101010101"; when s6=>state<=s7;

led<="0110011001100110"; when s7=>state<=s8;

led<="0111011101110111"; when s8=>state<=s9;

led<="1000100010001000"; when s9=>state<=s10;

led<="1001100110011001"; when s10=>state<=s11;

led<="1010101010101010"; when s11=>state<=s12;

led<="1011101110111011"; when s12=>state<=s13;

led<="1100110011001100"; when s13=>state<=s14;

led<="1101110111011101"; when s14=>state<=s15;

led<="1110111011101110"; when s15=>state<=s0;

led<="1111111111111111"; when others=>null;

end case;

end if;

end process;

end architecture one1;

4.2.3顶层电路部分

程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity root is

port (

clk: in std_logic;

clr: in std_logic;

opt:in std_logic;

led: out std_logic_vector(15 downto 0)); --八路彩灯输出

end root;

architecture one3 of root is

component timecontrol is --定义元件:时序控制电路

port(

clk: in std_logic;

clr: in std_logic;

opt:in std_logic;

clkout: out std_logic);

end component timecontrol;

component showcontrol is --定义元件:显示电路

port(

clk: in std_logic;

clr: in std_logic;

led: out std_logic_vector(15 downto 0));

end component showcontrol;

signal clk_tmp: std_logic;

begin

u1: timecontrol port map(clk=>clk,clr=>clr,opt=>opt,clkout=>clk_tmp); --例化时序控制模块u2: showcontrol port map(clk=>clk_tmp,clr=>clr,led=>led);

--例化显示电路模块

end architecture one3;

5各模块的时序仿真图

5.1时序控制电路timecontrol的仿真图如下:

图三

功能:时序控制电路metronome的功能是,用OPT控制输入信号CKL_IN的快慢节拍。而CLR是控制开关。且从图中可以看出,当复位信号为高电平时,电路时钟输出清零,当快慢信号OPT为低电平时,时序控制电路四分频起作用,当快慢信号OPT为高电平时,时序控制电路八分频起作用,仿真结果符合电路要求。

5.2 显示控制电路showcontrol的仿真图如下:

图四

功能:显示控制电路showcontrol的功能是控制花形的。且从图中可以看出,当复位信号有效时彩灯输出为零,否则,显示电路在十六种不同状态间转换。

5.3 顶层电路root系统的仿真图如下:

图五

功能:整个电路root系统是把showcontrol与timecontrol综合成一个电路。且从图中可以看出,从图中可以看出当OPT为高电平时彩灯状态转换慢,为低电平时转换要快,当复位信号有效时,所用输出都清零。

6总结

通过这次课程设计使我懂得了理论与实际相结合是很重要的,通过这次的课程设计,发现自己的实践经验还是不足的,以后多应该以理论为基础,然后应用到实践中来,从理论中得出结论,才能提高自己的实际动手能力和独立思考的能力。

在这次的课程设计里深入的接触了运用电子集成元器件制作多路彩灯的过程,并和同学一起讨论用软件制作出了这一个多路彩灯控制系统。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,都要进行实践,只有那样才能达到事半功倍的效果。

7 参考文献

[1].潘松等.VHDL教程[M].西安电子科技大学出版社.2000

[2].郑俭锋.VHDL设计及实现[M].电子设计应用.2005

[3].谭会生.张昌凡.EDA技术及应用[M].西安电子科技大学出版社

[4].潘松等.VHDL实用教程[M].成都:电子科技大学出版社.2000

[5].康华光.电子技术基础数字部分(第五版) [M].高等教育出版社.2006

中南大学通信原理实验报告(截图完整)

中南大学 《通信原理》实验报告 学生姓名 指导教师 学院 专业班级 完成时间

数字基带信号 1、实验名称 数字基带信号 2、实验目的 (1)了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 (2)掌握AMI、HDB 3 码的编码规则。 (3)掌握从HDB 3 码信号中提取位同步信号的方法。 (4)掌握集中插入帧同步码时分复用信号的帧结构特点。 (5)了解HDB 3 (AMI)编译码集成电路CD22103。 3、实验内容 (1)用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高密度双极性码 (HDB 3)、整流后的AMI码及整流后的HDB 3 码。 (2)用示波器观察从HDB 3 码中和从AMI码中提取位同步信号的电路中有关波形。 (3)用示波器观察HDB 3 、AMI译码输出波形。 4、基本原理(简写) 本实验使用数字信源模块和HDB 3 编译码模块。 1、数字信源 本模块是整个实验系统的发终端,模块内部只使用+5V电压,其原理方框图如图1-1所示,电原理图如图1-3所示(见附录)。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号,实验电路中数据码用红色发光二极管指示,帧同步码及无定义位用绿色发光二极管指示。发光二极管亮状态表示1码,熄状态表示0码。 本模块有以下测试点及输入输出点: ? CLK 晶振信号测试点 ? BS-OUT 信源位同步信号输出点/测试点(2个) ? FS 信源帧同步信号输出点/测试点 ? NRZ-OUT(AK) NRZ信号(绝对码)输出点/测试点(4个) 图1-1中各单元与电路板上元器件对应关系如下: ?晶振CRY:晶体;U1:反相器7404 ?分频器U2:计数器74161;U3:计数器74193;U4:计数器40160 ?并行码产生器K1、K2、K3:8位手动开关,从左到右依次与帧同步码、数

电子厂实训总结【三篇】【完整版】

电子厂实训总结【三篇】 ----WORD文档,下载后可编辑修改复制---- 【范文引语】电工电子实训是高职电气、电子、机电、机械设计与制造、数控等专业非常重要的实践性教学环节,对学生实践技能、综合素质的培养具有十分重要的作用。本文是作者为大家整理的电子厂实训总结【三篇】,仅供参考。 电子厂实训总结【一】 为期一个周的电子电工实习已经结束,我个人认为本次实习是非常有意义的。我不仅从中学到了很多知识,而且还提高了我的动手能力。我相信这次实习肯定会为我以后的学习、工作奠定坚实的基础。 本次实习理论结合实践,激发了我浓厚的学习兴趣。实习第一天老师先给我们介绍了一些基础知识,然后让我们进行创意造型练习,这不但让我们练习了技术,而且培养了我们的创新能力。当我们拿起电烙铁的那一刻,心情十分激动,因为毕竟是第一次动手。虽然老师讲了动作要领,但第一次很多人还是不敢下手,不过在我们的相互鼓励下,我们都大胆动起手来。前面几个焊点并不好,因为我们掌握不好焊锡的量、焊接的时间等。功夫不负有心人,通过我们在实践中不断摸索,终于符合焊接的标准。在这中间,老师还给我们穿插介绍了SMT的一些知识,不仅增加了我们的知识,更激起了我们的兴趣。 后面我们练习的是焊接电路板。因为是练习,我们用的是废电路板,利用上面没焊过的点进行练习,而且我们用的材料都需要重复利用。例如:我们用的焊条都必须用到小于一厘米。之所以这样,是为了培养我们的节约意识。这次实习让我切身体会到,在资源紧张的今天,我们要从身边的点点滴滴进行节约。 这次实习的重中之重是收音机的组装、焊接、调试。在这个工程中,我们学会了电子元器件的识别和检测,学会了自己阅读说明书、查看电路图。在电子元器件的识别和检测过程中,一定要仔细,把不同规格的器件分开并标注以免混淆。焊接时要注意焊接的顺序:先小后大、先低后高、先耐热后不耐热等。经过我们紧张的组装、焊接、调试,我们的收音机终于组装完毕。听着自己收音机发出的声音,心中有着无比的喜悦之情,自己的努力没有白费。 通过这次实训,我学到了很多知识、经验和教训。不论做什么事情,都要先

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

操作系统实验报告-中南大学

操作系统原理试验报告 班级: 学号: 姓名:

实验一:CPU调度 一、实验内容 选择一个调度算法,实现处理机调度。 二、实验目的 多道系统中,当就绪进程数大于处理机数时,须按照某种策略决定哪些进程优先占用处理机。本实验模拟实现处理机调度,以加深了解处理机调度的工作。 三、实验题目 1、设计一个按优先权调度算法实现处理机调度的程序; 2、设计按时间片轮转实现处理机调度的程序。 四、实验要求 PCB内容: 进程名/PID; 要求运行时间(单位时间); 优先权; 状态: PCB指针; 1、可随机输入若干进程,并按优先权排序; 2、从就绪队首选进程运行:优先权-1/要求运行时间-1 要求运行时间=0时,撤销该进程 3、重新排序,进行下轮调度 4、最好采用图形界面; 5、可随时增加进程; 6、规定道数,设置后备队列和挂起状态。若内存中进程少于规定道数,可自动从后备 队列调度一作业进入。被挂起进程入挂起队列,设置解挂功能用于将指定挂起进程解挂入就绪队列。 7、每次调度后,显示各进程状态。 实验二:内存管理 一、实验内容 主存储器空间的分配和回收 二、实验目的 帮助了解在不同的存储管理方式下,应怎样实现主存空间的分配和回收。 三、实验题目 在可变分区管理方式下,采用最先适应算法实现主存空间的分配和回收。

四、实验要求 1、自行假设主存空间大小,预设操作系统所占大小并构造未分分区表; 表目内容:起址、长度、状态(未分/空表目) 2、结合实验一,PCB增加为: {PID,要求运行时间,优先权,状态,所需主存大小,主存起始位置,PCB指针} 3、采用最先适应算法分配主存空间; 4、进程完成后,回收主存,并与相邻空闲分区合并 .1、Vo类说明(数据存储结构) 进程控制块PCB的结构: Public class PCB{ //进程控制块PCB,代表一个进程 //进程名,作为进程的标识; private String name; //要求运行时间,假设进程运行的单位时间数; private int time; //赋予进程的优先权,调度时总是选取优先数小的进程先执行; private int priority; //状态,假设有“就绪”状态(ready)、“运行”状态(running)、 //“后备”状态(waiting)、“挂起”状态(handup) private String state; //进程存放在table中的位置 private int start; //进程的大小 private int length; //进程是否进入内存,1为进入,0为未进入 private int isIn; //进程在内存中的起始位置 private int base; //进程的大小 private int limit; //一些get和set方法以及构造器省略… };

中南大学机械基础实验报告机类

机械基础实验报告 (机械类) 中南大学机械基础实验教学中心 2011年8月 目录 训练一机构运动简图测绘 (1) 实验二动平衡实验 (3) 实验三速度波动调节实验 (4) 实验四机构创意组合实验 (5) 实验五平面机构创新设计及运动测试分析实验 (6) 实验六螺栓联接静动态实验 (7) 实验七螺旋传动效率实验 (8) 实验八带传动实验 (9) 实验九液体动压轴承实验 (10) 实验十机械传动性能综合测试实验 (12) 实验十一滚动轴承综合性能测试分析实验 (13) 实验十二机械传动设计及多轴搭接实验 (14) 实验十三减速器拆装实验 (15)

训练一机构运动简图测绘 专业班级第组姓名成绩 1.一个正确的“机构运动简图”应能说明哪些内容?绘制机构运动简图的基本步骤是什么? 2.机构自由度与原动件的数目各为多少?当机构自由度=原动件的数目,机构的

运动是否确定? 五.收获与建议

实验二动平衡实验 专业班级第组姓名成绩一、实验目的: 二?设备名称: 三?实验数据 实验转速: 四.思考题: 转子动平衡为什么要在左右两个平面上进行平衡?

实验三速度波动调节实验专业班级第组姓名成绩一?实验目的: 二?设备名称: 三?实验数据 1?当转速不变时,采用不同的飞轮,数据记录: 结论:当转速不变时,飞轮转动惯量越大,则机构的速度波动越二?当飞轮不变时,转速变化,数据记录: 结论:当飞轮不变时,转速越大,则机构的速度波动越

实验四机构创意组合实验 专业班级第组姓名成绩 一、机构运动简图(要求符号规范标注参数) 二、机构的设计方案图(复印件) 三、机构有____________个活动构件?有______个低副,其中转动副_______个, 移动副__________个,有____________复合铰链,在_________处?有________处?有__________个虚约束,在__________处? 四、机构自由度数目为F=3n-2PL-PH=3X-2X-0= 五、机构有_________个原动件 在___________处用__________驱动,在__________处用___________驱动? 六、针对原设计要求,按照实验结果简述机构的有关杆件是否运动到位?曲柄是 否存在?是否实现急回特性?最小传动角数值?是否有“卡住”现象?(原无要求的项目可以不作涉及) 七、指出在机构中自己有所创新之处? 八、指出机构的设计存在的不足之处,简述进一步改进的设想?

焊接堆焊实训报告.doc

先进修复及再制造技术 综合实验报告 班级:成型三班姓名:徐杰 学号:指导老师:刘艳、马传平 2014年6月8日 先进修复及再制造技术 —堆焊工艺设计实验 班级:成型三班组员:徐杰陈振华蔡万青张洋李遥老师:刘艳马传平 一、实验目的 1.了解堆焊的基本原理; 2.观察堆焊焊接的过程,掌握简单的实验操作; 3.通过对实验结果的分析加深对理论知 识的理解。 二、实验内容 1.通过控制不同的工艺参数对平板进行堆焊; 2.对堆焊后的焊件进行切割,分析金相组织和硬度值分布; 2.分析堆焊工艺的优缺点及 应用范围。 三、实验仪器、设备及材料 1、nb-350igbt型逆变焊机; 2、送丝机控制箱1个; 3、轻型单丝埋 弧自动焊小车一台; 4、jdhs-38#药芯焊丝一盘; 5、钢板若干块。 四、实验原理及方案 堆焊方法是焊接技术的一个分支。就其物理本质、冶金过程和热过程的基本规律而言, 与一般焊接过程是相同的。但是,它的目的不一样,它不是为了联接工件,而是采用焊接的 方法,在零件的表面堆敷一层或几层具有一定性能材料的工艺过程,主要用于修复零件或者 增加其耐磨、耐热、耐蚀等方面的特殊性能。 通过查阅资料并在掌握理论知识的基础上,自主设定焊接工艺参数,进行堆焊的实验。 工艺参数如下表所示: 表1 自主设计的堆焊焊接工艺参数 工艺参数参数值 电压(v) 26.5 焊接速度(mm/s) 12 干伸长(mm) 14 五、实验步骤 1.选择合适的试板,用砂轮对试件表面进行打磨除锈; 2.按设计的方案对设置堆焊过程的工艺参数; 3.用焊渣将焊丝和要焊接的区域盖住,避免弧光污染; 4.开始堆焊,记录过程中的电流 和电压; 5.完成每一道堆焊后都需要进行敲渣处理并观察其宏观外貌,继续进行下一道堆焊,直 至完成10次堆焊。 6.用线切割方法将焊件切块,观察各堆焊道的显微组织,并测量硬度值。 六、实验注意事项 堆焊过程注意弧光灼伤眼睛。 七、实验结果与分析

中南大学系统仿真实验报告

实验一MATLAB 中矩阵与多项式的基本运算 实验任务 1. 了解MATLAB命令窗口和程序文件的调用。 2 ?熟悉如下MATLAB的基本运算: ①矩阵的产生、数据的输入、相关元素的显示; ②矩阵的加法、乘法、左除、右除; ③特殊矩阵:单位矩阵、“ 1 ”矩阵、“0”矩阵、对角阵、随机矩阵的产生和运算; ④多项式的运算:多项式求根、多项式之间的乘除。 基本命令训练 1、>> eye(2) ans = 1 0 0 1 >> eye(4) ans = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 2、>> ones(2) 1 1 ans =

1 1 >> ones(4) ans = 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 >> ones(2,2) ans = 1 1 1 1 >> ones(2,3) ans = 1 1 1 1 1 1 >> ones(4,3) ans = 1 1 1 1 1 1 1 1 1 1 1 1 3、>> zeros(2) ans =

0 0 0 0 >> zeros(4) ans = 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 >> zeros(2,2) ans = 0 0 0 0 >> zeros(2,3) ans = 0 0 0 0 0 0 >> zeros(3,2) ans = 0 0 0 0 00 4、随机阵>> rand(2,3) ans = 0.2785 0.9575 0.1576 0.5469 0.9649 0.9706 >> rand(2,3)

中南大学制造系统自动化技术实验报告整理

制造系统自动化技术 实验报告 学院:机电工程学院 班级:机制**** 姓名:张** 学号: *********** 指导教师:李** 时间: 2018-11-12 实验一柔性自动化制造系统运行实验 1.实验目的 (1)通过操作MES终端软件,实现对柔性制造系统的任务下达和控制加工,让学生

了解智能制造的特征及优势。 (2)通过创意性的实验让学生了解自动化系统总体方案的构思。 (3)通过总体方案的构思让学生了解该系统的工作原理,并学会绘制控制系统流程图,掌握物料流、信息流、能量流的流动路径。 (4)通过总体方案的构思让学生掌握各机械零部件、传感器、控制元器件的工作原理及性能。 (5)通过实验系统运行让学生了解运行的可靠性、安全性是采用何种元器件来实现的,促进学生进行深层次的思考和实践。 2.实验内容 (1)仔细观察柔性自动化制造系统的实现,了解柔性自动化制造系统的各个模块,熟悉各个模块的机械结构。 (2)了解各种典型传动机构的组装、工作原理、以及如何实现运动方向和速度的改变; (3)学习多种传感器的工作原理、性能和使用方法; (4)了解典型驱动装置的工作原理、驱动方式和性能; (5)理解柔性制造系统的工作原理,完成柔性制造系统的设计、组装; (6)实现对柔性制造系统的控制与检测,完成工件抓取、传输和加工。

3.实验步骤 (1)柔性制造系统的总体方案设计; (2)进行检测单元的设计; (3)进行控制系统的设计; (4)上下料机构的组装与检测控制; (5)物料传输机构的组装与实现; (6)柔性制造系统各组成模块的连接与控制; (7)柔性制造系统各组成单元的状态与工件状态位置的检测; (8)对机器人手动操作,实现对工件的抓取、传输。 4. 实验报告 ①该柔性自动化制造系统由哪几个主要的部分组成; 主要由:总控室工作站、AGV小车输送物料机构、安川机器人上下料工作站、法那科机器人上下料工作站、ABB机器人组装工作站、视觉检测及传送工作站、激光打标工作站、堆垛机及立体仓储工作站。 ②画出该柔性自动化制造系统的物料传输系统结构简图;

生产实习报告—电子地图管理系统

生产实习报告 ----电子地图管理系统 姓名: 学号: 班级:

生产实习 1.生产实习的目的: 生产实习,就是从实践中学习,这是我在实习中体会出来的。学习不能光靠舒舒服服地坐在课室里听老师讲理论,还要走出课室,抛开重重的书本,走向社会去学习。 深入了解企业管理的业务内容及软件应用的特点;加强认识企业软件应用的重要性、紧迫性;入了解企业软件产品的应用的现状和需求,使我们进一步认识到需要加强哪些方面的学习。 通过这次在东软的生产实习,是我在学校中学到的理论知识得以应用,并对所学的知识有了更深一步的认识。 2.生产实习的内容: 开发一个电子地图管理系统,读取管理存储地图信息的二进制文件,并将其中的linkid进行排序和对道路信息进行分析并检索,排序算法主要包括冒泡排序和快速排序,检索算法有二分法和顺序检索。 3.生产实习的功能描述: 该电子地图管理系统共包括四个模块分别是文件读取模块、提取信息的排序模块、文件信息检索模块和文件更新模块,由自己完成的模块是对linkid的排序模块。该模块的功能是将对linkid排好序的数据重新按照原来的数据格式存入到文件中。

4.详细设计: 4.1 排序函数设计 4.1.1 冒泡排序函数设计 4.1.2 快速排序函数设计

4.2 排序函数流程图 4.2.1 冒泡排序流程图 图4.1冒泡排序流程图

4.2.2. 快速排序 图4.2一次快速排序流程图

5.运行结果: 5.1快速排序运行结果: 图5.1冒泡排序运行结果截图 6.心得体会: 实训可以说是一段难忘的日子,实训对我们来说充满新鲜感。但是,当我们到达东软实训基地得知这次的实训内容,我又开始迷茫了,因为做一个项目对我来说是一个很大的挑战。对于我来说开发平台,操作窗口是很枯燥的。我不是很喜欢对着电脑,一个一个往里敲代码。但同时我又感到兴奋,因为我能在东软参加实训,东软是中国领先的IT解决方案与服务提供商,我相信我能在东软学到不少的东西。在实训期间我很荣幸的担任了我们班的班长,协同班主任以及讲课老师来管理大家,为大家提供帮助。 不说在实训期间我学到多少多少的知识,但是参加这次实训确实能学到不少,比如我知道怎么样共享一个文件,怎么样进入同局域网内的其他电脑查看共享文件。还有,也是很重要的一点就是合作。在做一个项目时,你不可能完完全全靠自己一个人去完成一个项目,这时就需要大家的合作,大家分配任务去共同完成这个项目。实训期间虽然感到很枯燥,很乏味,但是有收获,实训还是很值的。参加实训确实能学到很多

中南大学 计算机体系结构实验报告

计算机体系结构课程设计 学院:信息科学与工程学院 专业班级: 指导老师: 学号: 姓名:

目录 实验1 对指令操作码进行霍夫曼编码 (3) 一、实验目的 (3) 二、实验内容 (3) 三、设计思路 (4) 四、关键代码 (4) 五、实验截图 (5) 六、源代码 (5) 实验2 使用LRU 方法更新Cache (8) 一、实验目的 (8) 二、实验内容 (8) 三、设计思路 (9) 四、程序截图 (9) 五、实验代码 (9) 实验总结 (16) 参考文献 (16)

实验1 对指令操作码进行霍夫曼编码一、实验目的 了解和掌握指令编码的基本要求和基本原理 二、实验内容 1. 使用编程工具编写一个程序,对一组指令进行霍夫曼编码,并输出最后的编码结果以及对指令码的长度进行评价。与扩展操作码和等长编码进行比较。 2. 问题描述以及问题分析 举例说明此问题,例如: 下表所示: 对此组指令进行 HUFFMAN 编码正如下图所示: 最后得到的HUFFMAN 编码如下表所示:

最短编码长度为: H=0.45*1+0.30*2+0.15*3+0.05*4+0.03*5+0.01*6+0.01*6=-1.95. 要对指令的操作码进行 HUFFMAN 编码,只要根据指令的各类操作码的出现概率构造HUFFMAN 树再进行 HUFFAM 编码。此过程的难点构造 HUFFMAN 树,进行 HUFFAM 编 码只要对你所生成的 HUFFMAN 树进行中序遍历即可完成编码工作。 三、设计思路 观察上图,不难看出构造 HUFFMAN 树所要做的工作:1、先对各指令操作码的出现概率进行排序,构造一个有序链表。2、再取出两个最小的概率节点相加,生成一个生的节点加入到链表中,同时从两表中删除此两个节点。3、在对链表进行排序,链表是否只有一个节点,是则 HUFFAN 树构造完毕,否则继续做 2 的操作。为此设计一个工作链表(链表的元素时类,此类的功能相当结构。)、HUFFMAN 树节点、HUFFMAN 编码表节点。 四、关键代码 哈夫曼树重点在于如何排列权值大小不同的结点的顺序 private int leafNum; //叶子结点个数 private HaffmanNode[] hnodes; //哈夫曼树的结点数组 public HaffManCode(double[] weight) //构造指定权值集合的哈夫曼树 { int n = weight.length; //n个叶子结点 this.leafNum = n; this.hnodes = new HaffmanNode[2*n-1]; //n个叶子结点的哈夫曼树共有2n-1个结点 for(int i=0; i

中南大学机械制造工艺学实验报告

机械制造工艺学实验报告 班级机械1301 姓名黄佳清 学号 07

中南大学机电学院 《机械制造工艺学》课程实验报告 实验名称:加工误差的统计分析 姓名:黄佳清班级:机械1301 学号: 07 实验日期: 2015 年 10 月 18 日指导教师:成绩: 1. 实验目的 (1)掌握加工误差统计分析方法的基本原理和应用。 (2)掌握样本数据的采集与处理方法,要求:能正确地采集样本数据,并能通过对样本 数据的处理,正确绘制出加工误差的实验分布曲线和图。 (3)能对实验分布曲线和图进行正确地分析,对加工误差的性质、工序能力及工艺 稳定性做出准确的鉴别。 (4)培养对加工误差进行综合分析的能力。 2. 实验内容与实验步骤

1.按加工顺序测量工件的加工尺寸,记录测量结果。 2.绘制直方图和分布曲线 1)找出这批工件加工尺寸数据的最大值x max和最小值x min,按下式计算出极差R。 R=x max一x min 2)确定分组数K(K一般根据样本容量来选择,建议可选在8~11之间)。 3)按下式计算组距 d。 4)确定组界(测量单位:微米)。 5)做频数分布表。 6)计算x和 。 7)画直方图 以样本数据值为横坐标,标出各组组界;以各组频率密度为纵坐标,画出直方图。 8)画分布曲线 若工艺过程稳定,则误差分布曲线接近正态分布曲线;若工艺过程不稳定,则应根据实际情况确定其分布曲线。画出分布曲线,注意使分布曲线与直方图协调一致。 9)画公差带 在横轴下方画出公差带,以便与分布曲线相比较。 3.绘制图 1)确定样组容量,对样本进行分组

样组容量m 通常取4或5件。按样组容量和加工时间顺序,将样本划分成若干个样组。 2)计算各样组的平均值和极差 对于第i 个样组,其平均值和极差计算公式为: ∑==m j ij i x m x 1 1 式中 ——第i 个样组的平均值; ——第i 个样组的标准差; ——第i 个样组第j 个零件的测量值; ——第i 个样组数据的最大值; ——第i 个样组数据的最小值 3)计算图控制限(计算公式见实验原理) 4)绘制 图 以样组序号为横坐标,分别以各样组的平均值和极差R 为纵坐标,画出图,并在图上标出中心线和上、下控制限。 4. 按下式计算工序能力系数Cp 5. 判别工艺过程稳定性 可按下表所列标准进行判别。注意,同时满足表中左列3个条件,工艺过程稳定;表中右列条件之一不满足,即表示工艺过程不稳定。

可口可乐公司生产实习报告

摘要 作为一名在校学生,能在大三学年参加社会实习,是一次难得的机会。这次实习使我在掌握基本理论知识后,迅速加以实践运用,巩固学习成果;同时对所学知识有了更进一步的理解,便于更好的学习;更为可贵的是,在实习中我得到锻炼,变得更加成熟,无论是思想还是心理上。在这次实习中,我接触了形形色色的客户,同时还结识了很多很好的同事和朋友,使我更深刻地了解了社会,拓宽了我的视野,也教会了我如何去适应社会融入社会。 我实习的单位是可口可乐辽宁(北)饮料有限公司,是可口可乐中国实业有限公司所属营运单位之一。正文一共分为四部分,第一部分是可口可乐辽宁(北)饮料有限公司的简介,简要阐述了实习单位的生产能力、管理团队、企业价值观、经营思想、销售市场以及最终目标等相关情况。第二部分是实习内容,总体上概括了实习期间的主要任务。第三部分是实习日记,具体讲述了工作时间、工作环境、工作内容以及每天的时间安排。第四部分是实习心得,主要介绍我在实习过程中收获了什么和有什么心得体会。 关键词:可口可乐;社会实习;客户服务

目录 一、可口可乐辽宁(北)饮料有限公司概况 (1) 二、实习内容 (3) 三、实习日记 (4) 四、实习心得 (8) 实习证明原件 (9) 实习照片 (10)

可口可乐辽宁(北)饮料 有限公司客户服务中心实习报告 一、可口可乐辽宁(北)饮料有限公司概况 可口可乐辽宁(北)饮料有限公司系可口可乐中国实业有限公司所属营运单位之一。厂区坐落于沈阳经济技术开发区七号街,占地面积9万平方米,建筑面积6万平方米。总投资3000万美元,七条自动化生产线。年生产能力达40万吨。主要生产的产品有“可口可乐、雪碧、芬达、零度可乐、美之源果汁饮料、果粒奶优、原叶茶、酷儿果汁饮料、雀巢冰爽茶、醒目系列饮料、冰露纯净水、冰露机能水”等众多品牌,深受不同层面消费者的喜爱。产品包装主要为塑瓶(PET)、玻璃瓶(RB)、易拉罐(CAN)、现调机等十几种包装。销售市场覆盖了沈阳、抚顺、辽阳、本溪、铁岭、阜新、朝阳、新民、辽中、苏家屯等大中小城市。经过近几年的市场渠道细分管理,公司与客户均实现了网络信息标准化和数据化管理系统。 公司拥有一支优秀的管理团队。特别是经验丰富的销售团队,多年来一直不断地扩大市场份额,他们带领销售队员用卓越的执行力表现来实现团队的总体目标。近几年,销售业绩一直名列集团公司之榜。公司各资能部门的中层管理人员大部分从事过饮料行业多年的管理经验,人员结构整体稳定,保障了组织运作的健康发展。目前公司员工已达1800名。其中大专学历以上占70%。技术人员占20%,员工的综合素质和核心能力也在通过各种培训、岗位实践、人才发展论坛等方式得以实现。企业为社会造就了一大批优秀的管理干部。 经过长期不懈的努力,公司连续多年荣获省、市的50余项殊荣,被沈阳经济技术开发区管理委员会授予“沈阳经济技术开发区建区十周年特殊贡献奖”,被沈阳市环保局、沈阳晚报授予沈阳市“环保十佳企业”,沈阳市政府命名的“文明单位”,沈阳市总工会授予的“优秀工会”,“学习型组织”、市“劳动关系和谐单位”等光荣称号,同时,被沈阳市教育局授予沈阳市中小学德育教育基地。此外,在可口可乐系统连续九年取得中国区最佳装瓶厂和质量金奖称号,成为亚太区第一家取得可口可乐质量、环保、安全三项认证的装瓶厂。为将可口可乐辽宁(北)团队打造成一个东北精英团队,吴汉桥总经理结合总部组织发展转型的策略,在“诚信,承诺,权责一致激情,团结互助和集思广益”的企业价值观的基础上,提出了“三个以”和“三个

中南大学x射线实验报告参考

中南大学 X射线衍射实验报告 学院专业班级 姓名学号同组者 月日指导教师 实验 日期 评分分评阅人评阅日期 实验目的 1)掌握X射线衍射仪的工作原理、操作方法; 2)掌握X射线衍射实验的样品制备方法; 3)学会X射线衍射实验方法、实验参数设臵,独立完成一个衍射实验测试; 4)学会MDI Jade 6的基本操作方法; 5)学会物相定性分析的原理和利用Jade进行物相鉴定的方法; 6)学会物相定量分析的原理和利用Jade进行物相定量的方法。 本实验由衍射仪操作、物相定性分析、物相定量分析三个独立的实验组成,实验报告包含以上三个实验内容。 一、实验原理 1、X射线衍射仪 (1)X射线管 X射线管工作时阴极接负高压,阳极接地。灯丝附近装有控制栅,使灯丝发出的热电子在电场的作用下聚焦轰击到靶面上。阳极靶面上受电子束轰击的焦点便成为X射线源,向四周发射X射线。在阳极一端的金属管壁上一般开有四个射线出射窗口。转靶X射线管采用机械泵+分子泵二级真空泵系统保持管内真空度,

阳极以极快的速度转动,使电子轰击面不断改变,即不断改变发热点,从而达到提高功率的目的 (2)测角仪系统 测角仪圆中心是样品台,样品台可以绕中心轴转动,平板状粉末多晶样品安放在样品台上,样品台可围绕垂直于图面的中心轴旋转;测角仪圆周上安装有X 射线辐射探测器,探测器亦可以绕中心轴线转动;工作时,一般情况下试样台与探测器保持固定的转动关系(即θ-2θ连动),在特殊情况下也可分别转动;有的仪器中样品台不动,而X 射线发生器与探测器连动。 (3)衍射光路 2、物相定性分析 1) 每一物相具有其特有的特征衍射谱,没有任何两种物相的衍射谱是完全相同 的 2) 记录已知物相的衍射谱,并保存为PDF 文件 3) 从PDF 文件中检索出与样品衍射谱完全相同的物相 4) 多相样品的衍射谱是其中各相的衍射谱的简单叠加,互不干扰,检索程序能 从PDF 文件中检索出全部物相 3、物相定量分析 物相定量分析——绝热法 在一个含有N 个物相的多相体系中,每一个相的RIR 值(参比强度)均为已知的情况下,测量出每一个相的衍射强度,可计算出其中所有相的质量分数: 其中某相X 的质量分数可表示为: ∑ == N A i i A i X A X X K I K I W 式中A 表示N 个相中被选定为内标相的物相名称 式中A O Al X O Al X A K K K 3 232= 右边是两个物相X 和A 的RIR 值,可以通过实测、计算或查找PDF 卡片获得。 样品中只含有两相A 和B ,并选定A 为内标物相,则有:

中南大学微机实验报告

中南大学信息科学与工程学院 微机原理与接口技术实验报告 学生学院信息科学与工程学院 专业班级 学号 学生姓名____ 指导教师

目录 第一部分软件实验 (4) DEBUG 的使用 (4) 第二部分硬件实验 (8) 实验一使用ADC0809的A/D转换实验 (10) 实验二使用DAC0832的D/A转换实验(一) ................................. 错误!未定义书签。 实验三使用DAC0832的D/A转换实验(二) ................................. 错误!未定义书签。第三部分实验总结. (13)

第一部分软件实验 DEBUG 的命令及其操作 一、实验目的 1.熟练掌握debug的常用命令,学会用debug来调试程序。 2.深入了解数据在存储器中的存取方法及堆栈中数据的压入与弹出。 3.掌握各种寻址方法以及简单指令的执行过程。 二、实验内容 1.进入和退出DEBUG程序 2.本实验只要求在DEBUG调试状态下进行,包括汇编程序,调试程序,执行程序 3.掌握一些DEBUG的基本操作 三、实验环境 Windows系统下从进入命令行窗口。 四、实验的基本原理 a 汇编 d显示内存单元内容 e修改单元内存内容 g执行命令 t单步(或多步)调试 n指定文件路径文件名(含扩展名) u反汇编 r查看寄存器值及修改 l加载程序 w写盘命令 五、实验步骤 1.用DEBUG调试简单程序 例1 -A CS:0106 MOV AX,1234 MOV BX,2345 MOV CX,0 ADD AX,BX MOV CX,AX INT 20 运行程序

建筑毕业实习报告撰写规范与要求

毕业实习报告撰写要求与规范 毕业实习报告是毕业生实习成果的反映,是毕业生在大学期间理论学习和实践锻炼相结合的关键环节,通过毕业实习报告的撰写,来不断提高应届毕业 生理论联系实际分析问题、解决问题、独立思考和工作的能力。 一、实习报告的基本结构和要求 实习报告正文内容必须包含以下几个方面:实习目的;实习单位及岗位介绍;实习报告正文。 1、实习目的:要求言简意赅,点明主题。 2、实习单位及岗位介绍:要求详略得当,重点突出。 3、实习报告要有独立的见解,理论联系实际、重点突出、条理清晰,字数为4000字左右。 二、实习报告的排版格式规范 1、实习报告一律要使用A4纸,单面打印成文; 2、页边距:上30mm 下30mm 左30mm 右20mm 页眉15mm 页脚 15mm 页码置于下边线下居中位置,Times NewRoman」、五号字体; 3、字间距设置为“标准”; 4、行间距为固定值22磅; 5、字体、字号设置为: (1)标题:二号(分两行书写时用小二号)黑体字; (2)正文一级标题:三号黑体字,题序和标题之间空两个字,不加标点,下同; (3)正文二级标题:四号黑体字; (4)其余文字均为小四号宋体; (5)正文中所有非汉字均为Times New Romar体。 三、实习报告的装订要求 1、封面:按照系规定的统一封面样式进行打印(见下页 )。 2、实习报告正文。 毕业实习报告封面从左侧装订成册。学生在实习过程中应根据实习要求积累实习材料,在实习后期着手撰写实习报告,返校期间将实习报告交给实习指 导教师。 沈阳理工大学应用技术学院

毕业实习报告 专业: 姓名: 班级学号:经济与管理学院2011年12 月一:实 习目的: 为了大四学生毕业后能更好的适应社会,学校组织学生到社会中进行为期四个月的实习。作为物流的学生,我们主要是为了锻炼自己实践能力和了解物流行业,以便对我国的物流业能有更深的了解。 二:实习要求: 了解物流的特点流设施设备的使用,,有关物流行业的设备和作业流程,对其进行分析,学会和掌握相关物并依据学习的理论提出自己的意见.。 三:实习单位 托运是物流的一种形式,指托运人委托具有托运资质的公司将货物运输到指定地点,交给指定收货人的服务。托运站是以组织货物运输和配送,执行实物运输为主要职能的流通型结点。 玉环县滨海货物联运有限公司秉承“服务第一信誉至上收费合理” 的经营宗旨,多年来坚持以客户为中心,以优质诚信的服务、合理的价格、完善的运营体系以及良好的管理制度,为客户提供准确、可靠、安全、及时的服务,赢得了台州市、永康市及周边地区客户的信赖和赞誉。玉环县滨海货物联运有限公司是一家小型私有企业,兼有运输和集散功能,也可以扩展至配送功能,因此在规划与设计方面可操作性更高。滨海货物联运有限公司主要分为:财务部,运输部,装卸部,客服部四个部门,各部门之间相互协调和相互配合,密不可分。为客户提供最完美的物流方案、最方便快捷的服务、最低廉的运输价格和最高效的供应链。

最新中南大学数据结构实验报告

中南大学 数据结构实验报告 实验题目:(1)单链表的实现(2)栈和队列 (3)二叉树的遍历(4)查找与排序学生姓名:代巍 学生学号:0909121615 指导老师:余腊生 所在学院:信息科学与工程学院 专业班级:信息安全1201班 指导教师评定:签名:

实验一单链表的实现 一、实验目的 了解线性表的逻辑结构和各种存储表示方法,以及定义在逻辑结构上的各种 基本运算及其在某种存储结构上如何实现这些基本运算。在熟悉上述内容的基础上,能够针对具体应用问题的要求和性质,选择合适的存储结构设计出相应的有效算法,解决与线性表相关的实际问题 二、实验内容 用C/C++语言编写程序,完成以下功能: (1)运行时输入数据,创建一个单链表 (2)可在单链表的任意位置插入新结点 (3)可删除单链表的任意一个结点 (4)在单链表中查找结点 (5)输出单链表 三、程序设计的基本思想,原理和算法描述: (包括程序的结构,数据结构,输入/输出设计,符号名说明等) 用一组地址任意的存储单元存放线性表中的数据元素。以元素(数据元素的映象) + 指针(指示后继元素存储位置) = 结点(表示数据元 素或数据元素的映象) 以“结点的序列”表示线性表称作线性链表(单链表) 单链表是指数据接点是单向排列的。一个单链表结点,其结构类型分为两部分: (1)、数据域:用来存储本身数据。 (2)、链域或称为指针域:用来存储下一个结点地址或者说指向其直接后继的指针。 1、单链表的查找 对单链表进行查找的思路为:对单链表的结点依次扫描,检测其数据域是否是我们所要查好的值,若是返回该结点的指针,否则返回NULL。

中南大学-数字通信原理实验报告

数字通信原理实验报告 专业班级: 指导老师:李敏 姓名: 学号:

实验一数字基带信号 一、实验目的 1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 2、掌握AMI、HDB3码的编码规则。 3、掌握从HDB3码信号中提取位同步信号的方法。 4、掌握集中插入帧同步码时分复用信号的帧结构特点。 5、了解HDB3(AMI)编译码集成电路CD22103。 二、实验内容 1、用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高密度双极性码(HDB3)、整流后的AMI码及整流后的HDB3码。 2、用示波器观察从HDB3码中和从AMI码中提取位同步信号的电路中有关波形。 3、用示波器观察HDB3、AMI译码输出波形。

三、实验步骤 本实验使用数字信源单元和HDB3编译码单元。 1、熟悉数字信源单元和HDB3编译码单元的工作原理。接好电源线,打开电源开关。 2、用示波器观察数字信源单元上的各种信号波形。 用信源单元的FS作为示波器的外同步信号,示波器探头的地端接在实验板任何位置的GND点均可,进行下列观察: (1)示波器的两个通道探头分别接信源单元的NRZ-OUT和BS-OUT,对照发光二极管的发光状态,判断数字信源单元是否已正常工作(1码对应的发光管亮,0码对应的发光管熄); (2)用开关K1产生代码×1110010(×为任意代码,1110010为7位帧同步码),K2、K3产生任意信息代码,观察本实验给定的集中插入帧同步码时分复用信号帧结构,和NRZ 码特点。 3、用示波器观察HDB3编译单元的各种波形。 仍用信源单元的FS信号作为示波器的外同步信号。 (1)示波器的两个探头CH1和CH2分别接信源单元的NRZ-OUT和HDB3单元的AMI-HDB3,将信源单元的K1、K2、K3每一位都置1,观察全1码对应的AMI码(开关K4置于左方AMI 端)波形和HDB3码(开关K4置于右方HDB3端)波形。再将K1、K2、K3置为全0,观察全0码对应的AMI码和HDB3码。观察时应注意AMI、HDB3码的码元都是占空比为0.5的双极性归零矩形脉冲。编码输出AMI-HDB3比信源输入NRZ-OUT延迟了4个码元。 (2)将K1、K2、K3置于0111 0010 0000 1100 0010 0000态,观察并记录对应的AMI 码和HDB3码。 (3)将K1、K2、K3置于任意状态,K4先置左方(AMI)端再置右方(HDB3)端,CH1接信源单元的NRZ-OUT,CH2依次接HDB3单元的DET、BPF、BS-R和NRZ ,观察这些信号波形。观察时应注意: ? HDB3单元的NRZ信号(译码输出)滞后于信源模块的NRZ-OUT信号(编码输入)8个码元。 ? DET是占空比等于0.5的单极性归零码。 ? BPF信号是一个幅度和周期都不恒定的准正弦信号,BS-R是一个周期基本恒定(等于一个码元周期)的TTL电平信号。 ?信源代码连0个数越多,越难于从AMI码中提取位同步信号(或者说要求带通滤波的Q值越高,因而越难于实现),而HDB3码则不存在这种问题。本实验中若24位信源代码中连零很多时,则难以从AMI码中得到一个符合要求的稳定的位同步信号,因此不能完成正确的译码(由于分离参数的影响,各实验系统的现象可能略有不同。一般将信源代码置成只有1个“1”码的状态来观察译码输出)。若24位信源代码全为“0”码,则更不可能从AMI 信号(亦是全0信号)得到正确的位同步信号。

电气焊实训报告

电焊实习报告 实习目的: 1.了解电焊这门工艺的基本原理; 2.使用电焊机及电焊机的调节; 实习材料; 焊条、铁板、电焊机、电焊面罩、电焊墨镜、电焊手套等。 实习任务: 运用斜焊在金属板上焊出长约10cm,宽4-5cm的焊痕 实习步骤: 1.、整理着装,因为电焊操作过程中有火花等飞溅,所以要佩带好老师提供的手套,同时不能穿拖鞋,不能穿短裤。 2.、开启电源,调到需要的电流(小电流档位),用焊枪夹着焊条进行引弧,引弧时一定要戴上面罩或墨镜,避免眼睛受到引弧时的强光刺激,防止灼伤眼睛。 3.、进行焊接,焊接是焊条与铁板成75度到85度之间角进行焊接,在焊接时要注意练习方法,蹲姿为单膝着地,呈三点一线姿态,有利于保持焊接姿势的稳定。在焊接过程中焊条与金属板之间流2到3毫米在引弧或焊接过程中如果出现焊条与金属板粘住现象时,将焊枪左右晃动并立即拿下,重新再进行引弧。 4.、打扫卫生。剩下的焊条头要尽量充分利用扔到指定的地点。 实习体会: 在实习期间最大的的感受就是“辛苦”,开始时的起弧,由于没有掌握要领,结果经常造成焊条与金属粘住的现象,且没有及时将焊枪拿下,结果经常造成整根焊条烧毁的事情,更有几次因为心急而忘了戴手套直接去拿滚烫焊条的是,结果手被烫出了很多小泡。 在焊接时也出现了一些难题,就是在焊接是焊条与金属接处是造成铁板烧穿,在有就是在焊接是所要的宽度不,看到其他同学的焊接才知道是焊条与金属之间太近和走的速度慢的原因,改进后好多了。 这此的电焊实习考核我考了三次才通过。经过认真总结,我认为和我的用手习惯有关。由于我是左撇子,在焊接时走的方向和大家相反,导致铁水堆积,以至于将金属板烧穿。在认真请教同学和总结经验后,终于烧出了合格的作业。 老师反复强掉在使用电焊时的安全主要防止灼伤眼睛,这让我们进一步认识大在工作中安全的重要性。篇二:电气焊实习报告 电焊 实习目的: 了解电焊这门工艺的基本原理,掌握电焊的基本操作工具以及焊接的几种常见的方法,学习平焊和平含运条,掌握焊接的手法。 实习任务: 运用平焊以及平焊运条熟练掌握使用电焊的手法。 实现步骤: 1、整理好着装。由于进行电焊操作的时候有火花、铁屑飞溅,而且稳定很高,因此需要佩戴好老师提供的围裙、手套。 2、开启电源,调到需要的电流。用焊枪夹着焊条进行引弧。在引弧时一定要戴上面罩,避免眼睛直接受到引弧时强光的刺激,防止打眼。 3、进行焊接。在焊接时候,要注意练习手法、站姿。老师教我们的云条的方式有多种,最常见的是月牙形和环形。运条时一定要手法平稳的进行。 4、在完成运条后,老师还让我们练习将两条焊痕的中间填平。这时候要将电流适当调

相关文档