文档库 最新最全的文档下载
当前位置:文档库 › 基于51单片机的12864显示温度(ds18b20)

基于51单片机的12864显示温度(ds18b20)

基于51单片机的12864显示温度(ds18b20)
基于51单片机的12864显示温度(ds18b20)

#ifndef __LCD12864_H

#define __LCD12864_H

//---包含头文件---//

#include

//---重定义关键词---//

#ifndef uchar

#define uchar unsigned char

#endif

#ifndef uint

#define uint unsigned int

#endif

//---如果使用画图模式定义这个---//

#define LCD12864_PICTURE

//---定义使用的IO口---//

#define LCD12864_DATAPORT P1 //数据IO口

sbit LCD12864_RS = P2^6; //(数据命令)寄存器选择输入sbit LCD12864_RW = P2^5; //液晶读/写控制

sbit LCD12864_EN = P2^7; //液晶使能控制

sbit LCD12864_PSB = P3^2; //串/并方式控制

sbit LCD12864_RST = P3^4; //复位端

//---声明全局函数---//

void LCD12864_Delay1ms(uint c);

uchar LCD12864_Busy(void);

void LCD12864_WriteCmd(uchar cmd);

void LCD12864_WriteData(uchar dat);

void LCD12864_Init();

void LCD12864_ClearScreen(void);

void LCD12864_SetWindow(uchar x, uchar y);

void LCD12864_DrowPic(uchar *a);

void LCD12864_DrowPoint(uchar x, uchar y);

#endif

#ifndef __TEMP_H_

#define __TEMP_H_

#include

//---重定义关键词---//

#ifndef uchar

#define uchar unsigned char

#endif

#ifndef uint

#define uint unsigned int

#endif

//--定义使用的IO口--//

sbit DSPORT=P3^3;

//--声明全局函数--//

void Delay1ms(uint );

uchar Ds18b20Init();

void Ds18b20WriteByte(uchar com);

uchar Ds18b20ReadByte();

void Ds18b20ChangTemp();

void Ds18b20ReadTempCom();

int Ds18b20ReadTemp();

#endif

#include"lcd12864.h"

/****************************************************************************** *

* 函数名: LCD12864_Delay1ms

* 函数功能: 延时1MS

* 输入: c

* 输出: 无

******************************************************************************* /

void LCD12864_Delay1ms(uint c)

uchar a,b;

for(; c>0; c--)

{

for(b=199; b>0; b--)

{

for(a=1; a>0; a--);

}

}

}

/****************************************************************************** *

* 函数名: LCD12864_Busy

* 函数功能: 检测LCD是否忙

* 输入: 无

* 输出: 1或0(1表示不忙,0表示忙)

******************************************************************************* /

uchar LCD12864_Busy(void)

{

uchar i = 0;

LCD12864_RS = 0; //选择命令

LCD12864_RW = 1; //选择读取

LCD12864_EN = 1;

LCD12864_Delay1ms(1);

while((LCD12864_DA TAPORT & 0x80) == 0x80) //检测读取到的值

{

i++;

if(i > 100)

{

LCD12864_EN = 0;

return 0; //超过等待时间返回0表示失败

}

}

LCD12864_EN = 0;

return 1;

}

/****************************************************************************** *

* 函数名: LCD12864_WriteCmd

* 函数功能: 写命令

* 输入: cmd

* 输出: 无

******************************************************************************* /

void LCD12864_WriteCmd(uchar cmd)

{

uchar i;

i = 0;

while( LCD12864_Busy() == 0)

{

LCD12864_Delay1ms(1);

i++;

if( i>100)

{

return; //超过等待退出

}

}

LCD12864_RS = 0; //选择命令

LCD12864_RW = 0; //选择写入

LCD12864_EN = 0; //初始化使能端

LCD12864_DATAPORT = cmd; //放置数据

LCD12864_EN = 1; //写时序

LCD12864_Delay1ms(1);

LCD12864_EN = 0;

}

/****************************************************************************** *

* 函数名: LCD12864_WriteData

* 函数功能: 写数据

* 输入: dat

* 输出: 无

******************************************************************************* /

void LCD12864_WriteData(uchar dat)

{

uchar i;

i = 0;

while( LCD12864_Busy() == 0)

{

LCD12864_Delay1ms(1);

i++;

if( i>100)

{

return; //超过等待退出

}

}

LCD12864_RS = 1; //选择数据

LCD12864_RW = 0; //选择写入

LCD12864_EN = 0; //初始化使能端

LCD12864_DATAPORT = dat; //放置数据

LCD12864_EN = 1; //写时序

LCD12864_Delay1ms(1);

LCD12864_EN = 0;

}

/****************************************************************************** *

* 函数名: LCD12864_ReadData

* 函数功能: 读取数据

* 输入: 无

* 输出: 读取到的8位数据

******************************************************************************* /

#ifdef LCD12864_PICTURE

uchar LCD12864_ReadData(void)

{

uchar i, readValue;

i = 0;

while( LCD12864_Busy() == 0)

{

LCD12864_Delay1ms(1);

i++;

if( i>100)

{

return 0; //超过等待退出

}

}

LCD12864_RS = 1; //选择命令

LCD12864_RW = 1;

LCD12864_EN = 0;

LCD12864_Delay1ms(1); //等待

LCD12864_EN = 1;

LCD12864_Delay1ms(1);

readValue = LCD12864_DA TAPORT;

LCD12864_EN = 0;

return readValue;

}

#endif

/****************************************************************************** *

* 函数名: LCD12864_Init

* 函数功能: 初始化LCD12864

* 输入: 无

* 输出: 无

******************************************************************************* /

void LCD12864_Init()

{

LCD12864_PSB = 1; //选择并行输入

LCD12864_RST = 1; //复位

LCD12864_WriteCmd(0x30); //选择基本指令操作

LCD12864_WriteCmd(0x0c); //显示开,关光标

LCD12864_WriteCmd(0x01); //清除LCD12864的显示内容

}

/****************************************************************************** *

* 函数名: LCD12864_ClearScreen

* 函数功能: 在画图模式下,LCD12864的01H命令不能清屏,所以要自己写一

* * 屏函数

* 输入: 无

* 输出: 无

******************************************************************************* /

#ifdef LCD12864_PICTURE

void LCD12864_ClearScreen(void)

{

uchar i,j;

LCD12864_WriteCmd(0x34); //开启拓展指令集

for(i=0;i<32;i++) //因为LCD有纵坐标32格所以写三十二次

{

LCD12864_WriteCmd(0x80+i); //先写入纵坐标Y的值

LCD12864_WriteCmd(0x80); //再写入横坐标X的值

for(j=0;j<32;j++) //横坐标有16位,每位写入两个字节的的数据,也

{ //就写入32次以为当写入两个字节之后横坐标会自LCD12864_WriteData(0x00); //动加1,所以就不用再次写入地址了。

}

}

LCD12864_WriteCmd(0x36); //0x36扩展指令里面打开绘图显示

LCD12864_WriteCmd(0x30); //恢复基本指令集

}

#endif

/****************************************************************************** *

* 函数名: LCD12864_SetWindow

* 函数功能: 设置在基本指令模式下设置显示坐标。注意:x是设置行,y是设置列

* 输入: x, y

* 输出: 无

******************************************************************************* /

void LCD12864_SetWindow(uchar x, uchar y)

{

uchar pos;

if(x == 0) // 第一行的地址是80H

x = 0x80;

}

else if(x == 1) //第二行的地址是90H

{

x = 0x90;

}

else if(x == 2) //第三行的地址是88H

{

x = 0x88;

}

else if(x == 3)

{

x = 0x98; //第4行的地址是98H

}

pos = x + y;

LCD12864_WriteCmd(pos);

}

/****************************************************************************** *

* 函数名: LCD12864_ClearScreen

* 函数功能: 在画图模式下,LCD12864的01H命令不能清屏,所以要自己写一个清

* * 屏函数

* 输入: 无

* 输出: 无

******************************************************************************* /

#ifdef LCD12864_PICTURE

void LCD12864_DrowPic(uchar *a)

{

unsigned char i,j;

LCD12864_ClearScreen();

LCD12864_WriteCmd(0x34); //开启扩展指令集,并关闭画图显示。

for(i=0;i<32;i++) //因为LCD有纵坐标32格所以写三十二次

LCD12864_WriteCmd(0x80+i); //先写入纵坐标Y的值

LCD12864_WriteCmd(0x80); //再写入横坐标X的值

for(j=0; j<16; j++) //横坐标有16位,每位写入两个字节的的数据,也

{ //就写入32次以为当写入两个字节之后横坐标会自LCD12864_WriteData(*a); //动加1,所以就不用再次写入地址了。

a++;

}

}

for(i=0; i<32; i++) //因为LCD有纵坐标32格所以写三十二次

{

LCD12864_WriteCmd(0x80+i); //先写入纵坐标Y的值

LCD12864_WriteCmd(0x88); //再写入横坐标X的值

for(j=0; j<16; j++) //横坐标有16位,每位写入两个字节的的数据,也

{ //就写入32次以为当写入两个字节之后横坐标会自LCD12864_WriteData(*a); //动加1,所以就不用再次写入地址了。

a++; }

}

LCD12864_WriteCmd(0x36); //开显示

LCD12864_WriteCmd(0x30); //转回基本指令集

}

/****************************************************************************** *

* 函数名: LCD12864_DrowPoint

* 函数功能: 在一定的坐标点亮一个点,可以用于画线条

* 输入: x, y

* 输出: 无

******************************************************************************* /

void LCD12864_DrowPoint(uchar x, uchar y)

{

uint bt = 0, readValue = 0;

uchar x_adr, y_adr, h_bit, l_bit;

//--Y轴最大一共64,但是12864分为上半屏和下半屏,超过32就是下半屏了,--// //--地址得又从零开始了。所以对32求余数,得到Y轴的坐标,然后加上80H的基地址--//

y_adr = 0x80 + y % 32; //计算Y轴的地址

//--当Y大于31时是下半屏,X(水平位置的地址)是从88H开始的,而且它是16位一--//

//--个地址,所以对16求模。而上半屏的地址是从80H开始的--//

if(y>31)

{

x_adr = 0x88 + x / 16; //计算X轴的地址

}

else

{

x_adr = 0x80 + x / 16;

}

//--求出X(水平位置),点亮的点是在16位数据里面的哪一个位--//

//--所以对这个数求余数--//

bt = 0x8000 >> (x % 16);

LCD12864_WriteCmd(0x34); //进入拓展指令集

LCD12864_WriteCmd(y_adr); //读取数据的时候要先写入所取数据的地址

LCD12864_WriteCmd(x_adr);

LCD12864_ReadData(); //读取的第一个字节不要,

readValue = LCD12864_ReadData(); //从第二个字节开始接收。

readValue <<= 8;

readValue |= LCD12864_ReadData();

bt = bt | readValue;

h_bit = bt >> 8;

l_bit = bt;

LCD12864_WriteCmd(y_adr); //写入点的时候,从新写入地址,因为地址已经改变。

LCD12864_WriteCmd(x_adr);

LCD12864_WriteData(h_bit);

LCD12864_WriteData(l_bit);

LCD12864_WriteCmd(0x36); //开显示

LCD12864_WriteCmd(0x30); //关闭绘图指令集

}

#endif

#include

#include"temp.h"

#include

/****************************************************************************** *

* 函数名: Delay1ms

* 函数功能: 延时函数

* 输入: 无

* 输出: 无

******************************************************************************* /

uchar HANZI1[6] = "室温:";

void Delay10ms(unsigned int c) //误差0us

{

unsigned char a, b;

//--c已经在传递过来的时候已经赋值了,所以在for语句第一句就不用赋值了--//

for (;c>0;c--)

{

for (b=38;b>0;b--)

{

for (a=130;a>0;a--) ;

}

}

}

/****************************************************************************** *

* 函数名: LcdDisplay()

* 函数功能: LCD显示读取到的温度

* 输入: v

* 输出: 无

******************************************************************************* /

void LcdDisplay(int temp) //lcd显示

{

unsigned char i,j, datas[] = {0, 0, 0, 0, 0}; //定义数组

float tp;

if(temp< 0) //当温度值为负数

{

LCD12864_WriteCmd(0x80); //写地址80表示初始地址

for(j=0;j<5;j++)

{

LCD12864_WriteData(HANZI1[j]);

}

SBUF='-'; //将接收到的数据放入到发送寄存器

while(!TI); //等待发送数据完成

TI=0; //清除发送完成标志位

LCD12864_WriteData('-'); //显示负

//因为读取的温度是实际温度的补码,所以减1,再取反求出原码

temp=temp-1;

temp=~temp;

tp=temp;

temp=tp*0.0625*100+0.5;

//留两个小数点就*100,+0.5是四舍五入,因为C语言浮点数转换为整型的时候把小数点

//后面的数自动去掉,不管是否大于0.5,而+0.5之后大于0.5的就是进1了,小于0.5的就

//算由?.5,还是在小数点后面。

}

else

{

LCD12864_WriteCmd(0x80); //写地址80表示初始地址

for(j=0;j<5;j++)

{

LCD12864_WriteData(HANZI1[j]);

}

LCD12864_WriteData('+'); //显示正

SBUF='+';//将接收到的数据放入到发送寄存器

while(!TI); //等待发送数据完成

TI=0; //清除发送完成标志位

tp=temp;//因为数据处理有小数点所以将温度赋给一个浮点型变量

//如果温度是正的那么,那么正数的原码就是补码它本身

temp=tp*0.0625*100+0.5;

//留两个小数点就*100,+0.5是四舍五入,因为C语言浮点数转换为整型的时候把小数点

//后面的数自动去掉,不管是否大于0.5,而+0.5之后大于0.5的就是进1了,小于0.5的就

//算加上0.5,还是在小数点后面。

}

datas[0] = temp / 10000;

datas[1] = temp % 10000 / 1000;

datas[2] = temp % 1000 / 100;

datas[3] = temp % 100 / 10;

datas[4] = temp % 10;

LCD12864_WriteData('0'+datas[0]); //百位

SBUF = '0'+datas[0]; //将接收到的数据放入到发送寄存器

while (!TI); //等待发送数据完成

TI = 0;

LCD12864_WriteData('0'+datas[1]); //十位

SBUF = '0'+datas[1]; //将接收到的数据放入到发送寄存器

while (!TI); //等待发送数据完成

TI = 0;

LCD12864_WriteData('0'+datas[2]); //个位

SBUF = '0'+datas[2]; //将接收到的数据放入到发送寄存器

while (!TI); //等待发送数据完成

TI = 0;

LCD12864_WriteData('.'); //显示‘.’

SBUF = '.'; //将接收到的数据放入到发送寄存器

while (!TI); //等待发送数据完成

TI = 0;

LCD12864_WriteData('0'+datas[3]); //显示小数点

SBUF = '0'+datas[3]; //将接收到的数据放入到发送寄存器

while (!TI); //等待发送数据完成

TI = 0;

LCD12864_WriteData('0'+datas[4]); //显示小数点

SBUF = '0'+datas[4]; //将接收到的数据放入到发送寄存器

while (!TI); //等待发送数据完成

for(i=0; i<6; i++)

{

while (!TI); //等待发送数据完成

TI = 0;

}

LCD12864_WriteData('C');

}

/****************************************************************************** *

* 函数名: UsartConfiguration()

* 函数功能: 设置串口

* 输入: 无

* 输出: 无

******************************************************************************* /

void UsartConfiguration()

{

SCON=0X50; //设置为工作方式1

TMOD=0X20; //设置计数器工作方式2

PCON=0X80; //波特率加倍

TH1=0XF3; //计数器初始值设置,注意波特率是4800的

TL1=0XF3;

// ES=1; //打开接收中断

// EA=1; //打开总中断

TR1=1; //打开计数器

}

void Delay1ms(uint y)

{

for( ; y>0; y--)

{

for(x=110; x>0; x--);

}

}

/****************************************************************************** *

* 函数名: Ds18b20Init

* 函数功能: 初始化

* 输入: 无

* 输出: 初始化成功返回1,失败返回0

******************************************************************************* /

uchar Ds18b20Init()

{

uchar i;

DSPORT = 0; //将总线拉低480us~960us

i = 70;

while(i--); //延时642us

DSPORT = 1; //然后拉高总线,如果DS18B20做出反应会将在15us~60us后总线拉低

i = 0;

while(DSPORT) //等待DS18B20拉低总线

{

i++;

if(i>5)//等待>5MS

{

return 0;//初始化失败

}

Delay1ms(1);

}

return 1;//初始化成功

}

/****************************************************************************** *

* 函数名: Ds18b20WriteByte

* 函数功能: 向18B20写入一个字节

* 输入: com

* 输出: 无

******************************************************************************* /

void Ds18b20WriteByte(uchar dat)

{

uint i, j;

for(j=0; j<8; j++)

{

DSPORT = 0; //每写入一位数据之前先把总线拉低1us

i++;

DSPORT = dat & 0x01; //然后写入一个数据,从最低位开始

i=6;

while(i--); //延时68us,持续时间最少60us

DSPORT = 1; //然后释放总线,至少1us给总线恢复时间才能接着写入第二个数值

dat >>= 1;

}

}

/****************************************************************************** *

* 函数名: Ds18b20ReadByte

* 函数功能: 读取一个字节

* 输入: com

* 输出: 无

******************************************************************************* /

uchar Ds18b20ReadByte()

{

uchar byte, bi;

uint i, j;

for(j=8; j>0; j--)

{

DSPORT = 0;//先将总线拉低1us

i++;

DSPORT = 1;//然后释放总线

i++;

i++;//延时6us等待数据稳定

bi = DSPORT; //读取数据,从最低位开始读取

/*将byte左移一位,然后与上右移7位后的bi,注意移动之后移掉那位补0。*/

byte = (byte >> 1) | (bi << 7);

i = 4; //读取完之后等待48us再接着读取下一个数

while(i--);

}

return byte;

/****************************************************************************** *

* 函数名: Ds18b20ChangTemp

* 函数功能: 让18b20开始转换温度

* 输入: com

* 输出: 无

******************************************************************************* /

void Ds18b20ChangTemp()

{

Ds18b20Init();

Delay1ms(1);

Ds18b20WriteByte(0xcc); //跳过ROM操作命令

Ds18b20WriteByte(0x44); //温度转换命令

Delay1ms(100); //等待转换成功,而如果你是一直刷着的话,就不用这个延时了

}

/****************************************************************************** *

* 函数名: Ds18b20ReadTempCom

* 函数功能: 发送读取温度命令

* 输入: com

* 输出: 无

******************************************************************************* /

void Ds18b20ReadTempCom()

{

Ds18b20Init();

Delay1ms(1);

Ds18b20WriteByte(0xcc); //跳过ROM操作命令

Ds18b20WriteByte(0xbe); //发送读取温度命令

}

/****************************************************************************** *

* 函数名: Ds18b20ReadTemp

* 函数功能: 读取温度

* 输入: com

* 输出: 无

******************************************************************************* /

int Ds18b20ReadTemp()

{

int temp = 0;

uchar tmh, tml;

Ds18b20ChangTemp(); //先写入转换命令

Ds18b20ReadTempCom(); //然后等待转换完后发送读取温度命令

tml = Ds18b20ReadByte(); //读取温度值共16位,先读低字节

tmh = Ds18b20ReadByte(); //再读高字节

temp = tmh;

temp <<= 8;

temp |= tml;

return temp;

}

#include

#include

#include

void UsartConfiguration();

void LcdDisplay(int temp);

//--声明全局函数--//

void Delay10ms(unsigned int c); //延时10ms

/****************************************************************************** *

* 函数名: main

* 函数功能: 主函数

* 输入: 无

* 输出: 无

******************************************************************************* /

void main()

{ LCD12864_Init();

while(1)

{

LcdDisplay(Ds18b20ReadTemp());

Delay10ms(1);

}

while(1)

{

LCD12864_ClearScreen();

LCD12864_DrowPoint(127,63);

while(1);

}

}

/****************************************************************************** *

* 函数名: Delay10ms

* 函数功能: 延时函数,延时10ms

* 输入: 无

* 输出: 无

******************************************************************************* /

基于AT89C51单片机的温度传感器

基于AT89C51单片机的温度传感器 目录 摘要.............................................................. I ABSTRACT........................................................... I I 第一章绪论 (1) 1.1 课题背景 (1) 1.2本课题研究意义 (2) 1.3本课题的任务 (2) 1.4系统整体目标 (2) 第二章方案论证比较与选择 (3) 2.1引言 (3) 2.2方案设计 (3) 2.2.1 设计方案一 (3) 2.2.2 设计方案二 (3) 2.2.3 设计方案三 (3) 2.3方案的比较与选择 (4) 2.4方案的阐述与论证 (4) 第三章硬件设计 (6) 3.1 温度传感器 (6) 3.1.1 温度传感器选用细则 (6) 3.1.2 温度传感器DS18B20 (7) 3.2.单片机系统设计 (13)

3.3显示电路设计.................................错误!未定义书签。 3.4键盘电路设计................................错误!未定义书签。 3.5报警电路设计.................................错误!未定义书签。 3.6通信模块设计.................................错误!未定义书签。 3.6.1 RS-232接口简介..............................错误!未定义书签。 3.6.2 MAX232芯片简介.............................错误!未定义书签。 3.6.3 PC机与单片机的串行通信接口电路.............错误!未定义书签。 第四章软件设计..................................错误!未定义书签。 4.1 软件开发工具的选择..........................错误!未定义书签。 4.2系统软件设计的一般原则.......................错误!未定义书签。 4..3系统软件设计的一般步骤......................错误!未定义书签。 4.4软件实现....................................错误!未定义书签。 4.4.1系统主程序流程图.........................错误!未定义书签。 4.4.2 传感器程序设计...........................错误!未定义书签。 4.4.3 显示程序设计.............................错误!未定义书签。 4.4.4 键盘程序设计.............................错误!未定义书签。 4.4.5 报警程序设计.............................错误!未定义书签。 4.4.6 通信模块程序设计.........................错误!未定义书签。 第五章调试与小结..................................错误!未定义书签。致谢...............................................错误!未定义书签。参考文献...........................................错误!未定义书签。附录...............................................错误!未定义书签。系统电路图.......................................错误!未定义书签。系统程序.........................................错误!未定义书签。

基于51单片机的DS18B20数字温度计的实训报告

电子信息职业技术学院 暨国家示性软件职业技术学院 单片机实训 题目:用MCS-51单片机和 18B20实现数字温度计 姓名: 系别:网络系 专业:计算机控制技术 班级:计控 指导教师: * 伟 时间安排:2013年1月7日至 2013年1月11日

摘要 随着国民经济的发展,人们需要对各中加热炉、热处理炉、反应炉和锅炉中温度进行监测和控制。采用单片机来对他们控制不仅具有控制方便,简单和灵活性大等优点,而且可以大幅度提高被控温度的技术指标,从而能够大大的提高产品的质量和数量。 在日常生活及工业生产过程中,经常要用到温度的检测及控制,温度是生产过程和科学实验中普遍而且重要的物理参数之一。在生产过程中,为了高效地进行生产,必须对它的主要参数,如温度、压力、流量等进行有效的控制。温度控制在生产过程中占有相当大的比例。温度测量是温度控制的基础,技术已经比较成熟。传统的测温元件有热电偶和二电阻。而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,这些方法相对比较复杂,需要比较多的外部硬件支持。我们用一种相对比较简单的方式来测量。 我们采用美国DALLAS半导体公司继DS18B20之后推出的一种改进型智能温度传感器DS18B20作为检测元件,温度围为-55~125 oC,最高分辨率可达0.0625 oC。DS18B20可以直接读出北侧温度值,而且采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的特点。 本文介绍一种基于AT89C51单片机的一种温度测量及报警电路,该电路采用DS18B20作为温度监测元件,测量围0℃-~+100℃,使用LED模块显示,能设置温度报警上下限。正文着重给出了软硬件系统的各部分电路,介绍了集成温度传感器DS18B20的原理,AT89C51单片机功能和应用。该电路设计新颖、功能强大、结构简单。 关键词:单片机,数字控制,温度计, DS18B20,AT89S51

基于51单片机及DS18B20温度传感器的数字温度计程序(详细注释)

基于51单片机及DS18B20温度传感器的数字温度计程序(详细注释)

电路实物图如下图所示: C 语言程序如下所示: /******************************************************************** zicreate ----------------------------- Copyright (C) https://www.wendangku.net/doc/5f872231.html, -------------------------- * 程序名; 基于DS18B20的测温系统 * 功 能: 实时测量温度,超过上下限报警,报警温度可手动调整。K1是用来 * 进入上下限调节模式的,当按一下K1进入上限调节模式,再按一下进入下限 * 调节模式。在正常模式下,按一下K2进入查看上限温度模式,显示1s 左右自动 * 退出;按一下K3进入查看下限温度模式,显示1s 左右自动退出;按一下K4消除 * 按键音,再按一下启动按键音。在调节上下限温度模式下,K2是实现加1功能, * K1是实现减1功能,K3是用来设定上下限温度正负的。 * 编程者:Jason * 编程时间:2009/10/2 *********************************************************************/ #include //将AT89X52.h 头文件包含到主程序 #include //将intrins.h 头文件包含到主程序(调用其中的_nop_()空操作函数延时) #define uint unsigned int //变量类型宏定义,用uint 表示无符号整形(16位) #define uchar unsigned char //变量类型宏定义,用uchar 表示无符号字符型(8位) uchar max=0x00,min=0x00; //max 是上限报警温度,min 是下限报警温度 bit s=0; //s 是调整上下限温度时温度闪烁的标志位,s=0不显示200ms ,s=1显示1s 左右 bit s1=0; //s1标志位用于上下限查看时的显示 void display1(uint z); //声明display1()函数 #include"ds18b20.h" //将ds18b20.h 头文件包含到主程序 #include"keyscan.h" //将keyscan.h 头文件包含到主程序 #include"display.h" //将display.h 头文件包含到主程序

基于51单片机的数字温度计的设计报告(王强)

西安文理学院物理与机械电子工程学院 课程设计报告 专业班级 2011级测控技术与仪器一班 课程单片机课程设计 题目基于51单片机的数字温度计的设计 学号 0703110135 学生姓名王强 指导教师陈琦 2014年 5月

西安文理学院物理与机械电子工程学院 课程设计任务书 学生姓名王强专业班级11级测控一班学号0703110135 指导教师陈琦职称讲师教研室 B0406 课程单片机课程设计 题目基于51单片机的数字温度计的设计 任务与要求 1、学会使用51单片机,并对其内部结构进行深入的了解。 2、了解DS18B20的原理以及使用方式。 3、对于共阳极、共阴极数码管有个清楚的认识和掌握。 4、测得的结果范围在-55~125度,精度为0.5。 开始日期 2014年5月12日完成日期 2014年5月25日 2014年5月28日

基于51单片机的数字温度计的设计 摘要 本设计主要介绍了一个基于AT89C51单片机的测温系统,详细描述了利用数字温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,对各部分的电路也一一进行了介绍,该系统可以方便的实现温度采集和显示,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。DS18B20与AT89C51结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。 关键词:单片机;数字温度传感器;最简温度检测系统;

基于51单片机的温度控制系统

创作编号: GB8878185555334563BT9125XW 创作者:凤呜大王*

毕业论文设计 基于51单片机的温度控制系统

摘要 在日常生活中温度在我们身边无时不在,温度的控制和应用在各个领域都有重要的作用。很多行业中都有大量的用电加热设备,和温度控制设备,如用于报警的温度自动报警系统,热处理的加热炉,用于融化金属的坩锅电阻炉及各种不同用途的温度箱等,这些都采用单片机技术,利用单片机语言程序对它们进行控制。而单片机技术具有控制和操作使用方便、结构简单便于修改和维护、灵活性大且具有一定的智能性等特 点,可以精确的控 制技术标准,提高了温控指标,也大大的提高了产品的质量和性能。 由于单片机技术的优点突出,智能化温度控制技术正被广泛地采用。本文介绍了基于单片机AT89C51 的温度控制系统的设计方案与软硬件实现。采用温度传感器DS18B20 采集温度数据,7段数码管显示温度数据,按键设置温度上下限,当温度低于设定的下限时,点亮绿色发光二极管,当温度高于设定的上限时,点亮红色发光二极管。给出了系统总体框架、程序流程图和Protel 原理图,并在硬件平台上实现了所设计功能。 关键词:单片机温度控制系统温度传感器

Abstract In daily life, the temperature in our side the ever-present, the control of the temperature and the application in various fields all have important role. Many industry there are a large number of electric heating equipment, and the temperature control equipment, such as used for alarm automatic temperature alarm systems, heat treatment furnace, used to melt metal crucible resistance furnace, and all kinds of different USES of temperature box and so on, these using single chip microcomputer, using single chip computer language program to control them. And single-chip microcomputer technology has control and convenient in operation, easy to modify and maintenance of simple structure, flexibility is large and has some of the intelligence and other characteristics, we can accurately control technology standard to improve the temperature control index, also greatly improve the quality of the products and performance. Because of the advantages of the single chip microcomputer intelligent temperature control technology outstanding, is being widely adopted. This paper introduces the temperature control based on single chip microcomputer AT89C51 design scheme of the system and the hardware and software implementation. The temperature sensor DS18B20 collection temperature data, 7 period of digital pipe display, the upper and lower limits of temperature button when temperature below the setting of the lower limit, light green leds, when the temperature is higher than the set on the limit, light red leds. Given the system framework and program flow chart and principle chart, and in Protel hardware platform to realize the function of the design. Keywords:SCM Temperature control system Temperature sensors

(完整word版)基于51单片机的温度控制系统设计

基于51单片机的水温自动控制系统 0 引言 在现代的各种工业生产中 ,很多地方都需要用到温度控制系统。而智能化的控制系统成为一种发展的趋势。本文所阐述的就是一种基于89C51单片机的温度控制系统。本温控系统可应用于温度范围30℃到96℃。 1 设计任务、要求和技术指标 1.1任务 设计并制作一水温自动控制系统,可以在一定范围(30℃到96℃)内自动调节温度,使水温保持在一定的范围(30℃到96℃)内。 1.2要求 (1)利用模拟温度传感器检测温度,要求检测电路尽可能简单。 (2)当液位低于某一值时,停止加热。 (3)用AD转换器把采集到的模拟温度值送入单片机。 (4)无竞争-冒险,无抖动。 1.3技术指标 (1)温度显示误差不超过1℃。 (2)温度显示范围为0℃—99℃。 (3)程序部分用PID算法实现温度自动控制。 (4)检测信号为电压信号。 2 方案分析与论证 2.1主控系统分析与论证 根据设计要求和所学的专业知识,采用AT89C51为本系统的核心控制器件。AT89C51是一种带4K字节闪存可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器。其引脚图如图1所示。 2.2显示系统分析与论证 显示模块主要用于显示时间,由于显示范围为0~99℃,因此可采用两个共阴的数码管作为显示元件。在显示驱动电路中拟订了两种设计方案: 方案一:采用静态显示的方案 采用三片移位寄存器74LS164作为显示电路,其优点在于占用主控系统的I/O口少,编程简单且静态显示的内容无闪烁,但电路消耗的电流较大。 方案二:采用动态显示的方案 由单片机的I/O口直接带数码管实现动态显示,占用资源少,动态控制节省了驱动芯片的成本,节省了电 ,但编程比较复杂,亮度不如静态的好。 由于对电路的功耗要求不大,因此就在尽量节省I/O口线的前提下选用方案一的静态显示。

基于AT89C5单片机的数字温度计设计

基于AT89C5单片机的数字温度计设计

CHANGZHOU INSTITUTE OF TECHNOLOGY 科研实践 题目:基于单片机的数字温度计的设计

目录 目录 (2) 1.绪论 (3) 1.1课题研究背景及意义 (3) 1.2课题研究的内容 (3) 2.数字温度计的系统概论 (5) 2.1系统的功能 (5) 2.2温度计的分析 (5) 3.设计方案和要求 (6) 3.1设计任务和要求 (6) 3.2元器件的选取 (6) 3.3系统最终设计方案 (7) 4.硬件设计 (8) 4.1总体设计结构图 (8) 4.2硬件电路概述 (8) 4.2.1最小系统 (8) 4.2.2输入电路设计 (11) 4.2.3输出电路设计 (12) 5.硬件仿真 (15)

6.实物制作 (18) 6.1电路板焊接 (18) 6.2电路板调试 (19) 7.小结 (20) 附录 (21) 1.参考文献 (21) 2.原理图 (22) 3.元器件清单 (23) 4.软件程序 (24) 5.实物图 (30) 1.绪论 1.1课题研究背景及意义 单片机技术作为计算机技术的一个分支,广泛地应用于工业控制,智能仪器仪表,机电一体化产品,家用电器等各个领域。“单片机原理与应用”在工科院校各专业中已作为一门重要的技术基础课而普遍开设。学生在课程设计,毕业设计,科研项目中会广泛应用到单片机知识,而且,进入社会后也会广泛接触到单片机的工程项目。鉴于此,提高“单片机原理及应用”课的教学效果,让学生参与课程设计

实习甚为重要。单片机应用技术涉及的内容十分广泛,如何使学生在有限的时间内掌握单片机应用的基本原理及方法,是一个很有价值的教学项目。为此,我们进行了“单片机的学习与应用”方面的课程设计,锻炼学生的动脑动手以及协作能力。 单片机课程设计是针对模拟电子技术,数字逻辑电路,电路,单片机的原理及应用课程的要求,对我们进行综合性实践训练的实践学习环节,它包括选择课设任务、软件设计,硬件设计,调试和编写课设报告等实践内容。通过此次课程设计实现以下三个目标:第一,让学生初步掌握单片机课程的试验、设计方法,即学生根据设计要求和性能约束,查阅文献资料,收集、分析类似的相关题目,并通过元器件的组装调试等实践环节,使最终硬件电路达到题目要求的性能指标;第二,课程设计为后续的毕业设计打好基础,毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。第三,培养学生勤于思考乐于动手的习惯,同时通过设计并制作单片机类产品,使学生能够自己不断地学习接受新知识(如在本课设题目中存在智能测温器件DS18B20,就是课堂环节中不曾提及的“新器件”),通过多人的合作解决现实中存在的问题,从而不断地增强学生在该方面的自信心及兴趣,也提高了学生的动手能力,对学生以后步入社会参加工作打下一定良好的实践基础。 1.2课题研究的内容 本文主要介绍了一个基于AT89C51单片机的测温系统,详细描述了利用数 字温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机喜爱的硬 件连接,软件编程以及各模块系统流程进行了详尽分析,对各部分的电路也进 行一一介绍,该系统可以方便的是实现温度采集和显示,并可以根据需要任意 设定上下限报警温度,它使用起来方便,具有精度高、量程宽、灵敏度高、体 积小、功耗低等优点,适合我们日常生活和工农业生产中的温度测量,也可以 当做温度处理模块嵌入其他系统中,作为其他主系统的辅助扩展。DS18B20和AT89C51结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合 与恶劣环境下进行现场温度测量,有广泛的应用前景。 本设计首先是确定目标,气候是各个功能模块的设计,再在Proteus软件上 进行仿真,修改,仿真。 本温度计属于多功能温度计,可以设置上下报警温度,当温度不在设置范 围内时,可以报警。

基于51单片机的温度控制系统的设计

基于单片机的温度控制系统设计 1.设计要求 要求设计一个温度测量系统,在超过限制值的时候能进行声光报警。具体设计要求如下: ①数码管或液晶显示屏显示室内当前的温度; ②在不超过最高温度的情况下,能够通过按键设置想要的温度并显示;设有四个按键,分别是设置键、加1键、减1键和启动/复位键; ③DS18B20温度采集; ④超过设置值的±5℃时发出超限报警,采用声光报警,上限报警用红灯指示,下限报警用黄灯指示,正常用绿灯指示。 2.方案论证 根据设计要求,本次设计是基于单片机的课程设计,由于实现功能比较简单,我们学习中接触到的51系列单片机完全可以实现上述功能,因此可以选用AT89C51单片机。温度采集直接可以用设计要求中所要求的DS18B20。报警和指示模块中,可以选用3种不同颜色的LED灯作为指示灯,报警鸣笛采用蜂鸣器。显示模块有两种方案可供选择。 方案一:使用LED数码管显示采集温度和设定温度; 方案二:使用LCD液晶显示屏来显示采集温度和设定温度。 LED数码管结构简单,使用方便,但在使用时,若用动态显示则需要不断更改位选和段选信号,且显示时数码管不断闪动,使人眼容易疲劳;若采用静态显示则又需要更多硬件支持。LCD显示屏可识别性较好,背光亮度可调,而且比LED 数码管显示更多字符,但是编程要求比LED数码管要高。综合考虑之后,我选用了LCD显示屏作为温度显示器件,由于显示字符多,在进行上下限警戒值设定时同样可以采集并显示当前温度,可以直观的看到实际温度与警戒温度的对比。LCD 显示模块可以选用RT1602C。

3.硬件设计 根据设计要求,硬件系统主要包含6个部分,即单片机时钟电路、复位电路、键盘接口模块、温度采集模块、LCD 显示模块、报警与指示模块。其相互联系如下图1所示: 图1 硬件电路设计框图 单片机时钟电路 形成单片机时钟信号的方式有内部时钟方式和外部时钟方式。本次设计采用内部时钟方式,如图2所示。 单片机内部有一个用于构成振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别为此放大器的输入端和输出端,其频率范围为~12MHz ,经由片外晶体振荡器或陶瓷振荡器与两个匹配电容一 起形成了一个自激振荡电路,为单片机提供时钟源。 复位电路 复位是单片机的初始化操作,其作用是使CPU 和系统中的其他部件都处于一个确定的初始状态,并从这个状态开始工作,以防止电源系统不稳定造成CPU 工作不正常。在系统中,有时会出现工作不正常的情况,为了从异常状态中恢复,同时也为了系统调试方便,需要设计一个复位电路。 单片机的复位电路有上电复位和按键复位两种形式,因为本次设计要求需要有启动/复位键,因此本次设计采用按键复位,如图3。复位电路主要完成系统 图2 单片机内部时钟方式电路 图3 单片机按键复位电路

基于51单片机及DS18B20温度传感器的数字温度计设计

基于51单片机及DS18B20温度传感器的数字温度计设计

摘要 本设计采用的主控芯片是ATMEL公司的AT89S52单片机,数字温度传感器是DALLAS 公司的DS18B20。本设计用数字传感器DS18B20测量温度,测量精度高,传感器体积小,使用方便。所以本次设计的数字温度计在工业、农业、日常生活中都有广泛的应用。 单片机技术已经广泛应用社会生活的各个领域,已经成为一种非常实用的技术。51单片机是最常用的一种单片机,而且在高校中都以51单片机教材为蓝本,这使得51单片机成为初学单片机技术人员的首选。本次设计采用的AT89S52是一种flash型单片机,可以直接在线编程,向单片机中写程序变得更加容易。本次设计的数字温度计采用的是DS18B20数字温度传感器,DS18B20是一种可组网的高精度数字式温度传感器,由于其具有单总线的独特优点,可以使用户轻松地组建起传感器网络,并可使多点温度测量电路变得简单、可靠。 本设计根据设计要求,首先设计了硬件电路,然后绘制软件流程图及编写程序。本设计属于一种多功能温度计,温度测量范围是-55℃到125℃。温度值的分辨率可以被用户设定为9-12位,可以设置上下限报警温度,当温度不在设定的范围内时,就会启动报警程序报警。本设计的显示模块是用四位一体的数码管动态扫描显示实现的。在显示实时测量温度的模式下还可以通过查询按键查看设定的上下限报警温度。 关键词:单片机、数字温度计、DS18B20、AT89S52

目录 1 概述 ................................................................................................................................................................. - 1 - 1.1系统概述 ................................................................................................................................................. - 1 - 2 系统总体方案及硬件设计 ............................................................................................................................... - 2 - 2.1 系统总体方案 ........................................................................................................................................ - 2 - 2.1.1系统总体设计框图 ...................................................................................................................... - 2 - 2.1.2各模块简介 .................................................................................................................................. - 2 - 2.2 系统硬件设计 ........................................................................................................................................ - 5 - 2.2.1 单片机电路设计 ......................................................................................................................... - 5 - 2.2.2 DS18B20温度传感器电路设计.................................................................................................. - 6 - 2.2.3 显示电路设计 ............................................................................................................................. - 6 - 2.2.4 按键电路设计 ............................................................................................................................. - 7 - 2.2.5 报警电路设计 ............................................................................................................................. - 8 - 3 软件设计 ........................................................................................................................................................... - 9 - 3.1 DS18B20程序设计................................................................................................................................. - 9 - 3.1.1 DS18B20传感器操作流程.......................................................................................................... - 9 - 3.1.2 DS18B20传感器的指令表.......................................................................................................... - 9 - 3.1.3 DS18B20传感器的初始化时序................................................................................................ - 10 - 3.1.4 DS18B20传感器的读写时序.................................................................................................... - 10 - 3.1.5 DS18B20获取温度程序流程图................................................................................................ - 11 - 3.2 显示程序设计 ...................................................................................................................................... - 13 - 3.3 按键程序设计 ...................................................................................................................................... - 13 -4实物制作及调试 .............................................................................................................................................. - 14 -5电子综合设计体会 .......................................................................................................................................... - 15 -参考文献 ............................................................................................................................................................. - 16 -附1 源程序代码 .............................................................................................................................................. - 17 -附2 系统原理图 .............................................................................................................................................. - 32 -

基于单片机控制的数字温度计毕业设计

单片机课程设计报告 数字温度计

1 设计要求 ■基本范围-50℃-110℃ ■精度误差小于0.5℃ ■LED数码直读显示 2 扩展功能 ■实现语音报数 ■可以任意设定温度的上下限报警功能

数字温度计 摘要:随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术,本文将介绍一种基于单片机控制的数字温度计,本温度计属于多功能温度计,可以设置上下报警温度,当温度不在设置范围内时,可以报警。 关键词:单片机,数字控制,温度计,DS18B20,A T89S51 1 引言 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温范围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器使用单片机A T89S51,测温传感器使用DS18B20,用3位共阳极LED数码管以串口传送数据,实现温度显示,能准确达到以上要求。 2 总体设计方案 2.1数字温度计设计方案论证 2.1.1方案一 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D转换电路,感温电路比较麻烦。 2.1.2 方案二 进而考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,就可以满足设计要求。 从以上两种方案,很容易看出,采用方案二,电路比较简单,软件设计也比较简单,故采用了方案二。 2.2方案二的总体设计框图 温度计电路设计总体设计方框图如图1所示,控制器采用单片机AT89S51,温度传感器采用DS18B20,用3位LED数码管以串口传送数据实现温度显示。 图1总体设计方框图 2.2.1 主控制器

基于51单片机的温度警报器的设计

西安文理学院物理与机械电子工程学院课程设计任务书

目录 摘要 (3) 1 引言 (3) 1.1课题背景 (3) 1.2研究内容和意义 (5) 2 芯片介绍 (5) 2.1 DS18B20概述 (5) 2.1.1 DS18B20封装形式及引脚功能 (6) 2.1.2 DS18B20内部结构 (6) 2.1.3 DS18B20供电方式 (9) 2.1.4 DS18B20的测温原理 (10) 2.1.5 DS18B20的ROM命令 (11) 2.2 AT89C52概述 (13) 2.2.1单片机AT89C52介绍 (13) 2.2.2功能特性概述 (13) 3 系统硬件设计 (13) 3.1 单片机最小系统的设计 (13) 3.2 温度采集电路的设计 (14) 3.3 LED显示报警电路的设计 (15) 4 系统软件设计...................................................15 4.1 流程图........................................................15 4.2 温度报警器程序.................................................16 4.3 总电路图..................................................... 19 5总结 (20)

摘要 随着时代的进步和发展,温度的测试已经影响到我们的生活、工作、科研、各个领域,已经成为了一种非常重要的事情,因此设计一个温度测试的系统势在必行。 本文主要介绍了一个基于AT89C52单片机的数字温度报警器系统。详细描述了利用数字温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,对各部分的电路也一一进行了介绍,该系统可以方便的实现温度的采集和报警,并可以根据需要任意上下限报警温度,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当做温度处理模块潜入其他系统中,作为其他主系统的辅助扩展。DS18B20与AT89C52结合实现最简温度报警系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。 关键词:单片机;温度检测;AT89C52;DS18B20; 1 引言 1.1课题背景 温度是工业对象中主要的被控参数之一,如冶金、机械、食品、化工各类工业生产中,广泛使用的各种加热炉、热处理炉、反应炉等,对工件的温度处理要求严格控制。随着科学技术的发展,要求温度测量的范围向深度和广度发展,以满足工业生产和科学技术的要求。 基于AT89C51单片机提高了系统的可移植性、扩展性,利于现代测控、自动化、电气技术等专业实训要求。以单片机为核心设计的温度报警器,具有安全可靠、操作简单方便、智能控制等优点。 温度对于工业生产如此重要,由此推进了温度传感器的发展。温度传感器主要经过了三个发展阶段[1]: (1)模拟集成温度传感器。该传感器是采用硅半导体集成工艺制成,因此亦称硅传感器或单片集成温度传感器。此种传感器具有功能单一(仅测量温度)、

基于51单片机的心率体温测试系统

摘要 本文介绍了一种基于51单片机的心率体温采集系统。首先介绍了51系列单片机的内部相关配置、工作原理以及编程方法,其次介绍了温度传感器PT100的相关测温方法以及通过红外光电传感器TCRT5000对射的方法来抓取人体脉搏信号。此次设计的电路部分主要包括:传感测量电路、放大电路、滤波整形电路、AD转换电路、计数显示电路、控制电路、电源供电电路等。通过按键开始测试,将PT100及TCRT5000输入的微弱信号进行放大整形,最后AD采集转换传送给单片机,在LCD1602上显示相关体温及心率信息。 本次硬件设计基于比较稳定可行、低成本的设计思想,软件设计采用模块化的设计方法,并且详细分析了红外传感器TCRT5000应用于心率测量上以及PT100应用于温度测量上的原理及优点,阐述了其他各配合电路的组成与工作特点,并且通过仿真进行电路的可行性验证,最后完成实物电路的设计,使得本次课题的预期结果得以实现。 关键词:51单片机;传感器;仿真;AD转换 -I

Abstract This paper introduced a heart rate and body temperature acquisition system that based on 51 single chip microcomputer. First the internal configurations of 51 single chip microcomputer are introduced. And the paper also tell how 51 single chip microcomputer works and how can we program on it. Then the method of using temperature sensor PT100 to get body temperature is introduced, and we use infrared photoelectric sensor TCRT5000 to get the pulse signal of human body.The design of the circuit mainly comprises sensing circuit , amplifying circuit, filtering and shaping circuit, AD converting circuit, counting and displaying circuit, controlling circuit, power supplying circuit and so on. When the keyboard is pressed, the system starts to get signal. The small signal from PT100 and TCRT5000 will be amplified and shaped. Then ad converter will change the analog signal into digital signal and send to 51 single chip microcomputer . At last LCD1602 will display the information of body temperature and heart rate. Keywords: Piezoelectric sensors;control circuit;counters;Multisim2001 simulation software control circuit. -II

相关文档
相关文档 最新文档