文档库 最新最全的文档下载
当前位置:文档库 › 数字电路实验指导

数字电路实验指导

数字电路实验指导
数字电路实验指导

146

实验二 基本逻辑门及其应用

一、实验目的

1.掌握验证逻辑门电路功能的方法。

2.学习基本门电路的实际应用。

3.掌握逻辑门多余输入端的处理方法。 二、实验原理 1.TTL 门电路

TTL 门电路是数字电路中应用最广的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V ,电源电压允许变化范围比较窄,一般在4.5V~5.5V 之间。高电平的典型值是3.6V (高电平≥2.4V 合格),低电平的典型值是0.3V (低电平≤0.45V 合格)。

对门电路的多余输入端,最好不要悬空,虽然对TTL 门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路误动作。不同的逻辑门,其多余输入端的处理有不同的方法。

⑴TTL 与门、与非门的多余输入端的处理

TTL 与门、与非门多余输入端的处理方法是:把多余输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻接高电平。实际使用中多采用把多余的输入端通过串接限流电阻接高电平的方法。多余输入端的处理方法如图5-9所示。

⑵TTL 或门、或非门的多余输入端的处理

TTL 或门、或非门的多余输入端的处理方法是:把多余输入端与有用的输入端并联使用;把多余输入端接低电平或接地。多余输入端的处理方法如图5-10所示。

(a )(c )

A

(b )图5-9 TTL 与门、与非门多余输入端的处理方法 a (b )

()

2. CMOS门电路

CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。

由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此,使用时应注意以下几点:

⑴CMOS门电路一定要先加V DD,后加输入信号V i,而且应满足V SS≤V i≤V DD,工作

结束时,先撤去输入信号,后去掉电源。

⑵电源电压V DD、V SS首先要避免超过极限电压,其次要注意电源电压的高低影响电路

的工作频率,绝对不允许接反。

⑶禁止在电源接通的情况下,装拆线路或器件。

⑷对门电路多余的输入端,不能悬空,对不同的逻辑门,其多余输入端的处理有不同的方法。

①CMOS与门、与非门的多余输入端的处理

CMOS与门、与非门多余输入端的处理方法是:把多余输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻接高电平。实际使用中多采用把多余的输入端通过串接限流电阻接高电平的方法,最好不要并联使用,因为这样将增加输入端的电容量,降低工作速度。

②CMOS或门、或非门的多余输入端的处理

CMOS或门、或非门的多余输入端的处理方法是:把多余输入端与有用的输入端并联使用;把多余输入端接低电平或接地。

三、实验仪器、设备与器件

1. ADCL-Ⅳ型电子技术综合实验箱;

2. MS8215型数字万用表;

3.集成电路:74LS00,74LS27,74LS86,74LS51,74LS20,74LS02;

4.电阻:1kΩ。

四、实验内容与步骤

1.基本内容

实验前按实验箱的使用说明先检查电源是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好,特别注意+V CC及地线不能接错。实验中改动接线须断开电源,接好线再通电实验。

⑴测试测试TTL门的功能

74LS00、74LS02、74LS27、74LS51、74LS86的引脚图见附录,选中一个逻辑门,输入端分别接到逻辑开关上,输出端接到发光二极管上,通过发光二极管的状态来观察逻辑门的输出状态。扳动开关给出高低电平输入,测试其逻辑功能。若其功能正确,可以使用,否则,不能使用。

147

148

⑵用与非门实现逻辑函数

写出逻辑函数表达式,由于74LS00是与非门,故将其改写成与非—与非形式。画出标明引脚的逻辑电路图,将输入端(A 、B 、C )接到逻辑开关上,输出端(F )接到发光二极管上,通过发光二极管的状态来观察与非门的输出状态。扳动开关给出八种组合输入,若输出状态与表5-1所示一致,说明该实验正确。反之,则说明实验不正确,需查找原因,排除故障,直至实验正确为止。

⑶用或非门实现逻辑函数

首先画出由74LS02实现表5-1的逻辑图,然后将实验结果填入表5-2中。不允许有反变量输入,注意多余输入端的处理。

⑷ 用与或非门实现逻辑函数

首先画出由74LS51实现表5-1的逻辑图,然后将实验结果填入表5-3中。不允许有反变量输入,注意多余输入端的处理。

2.扩展内容

用异或门74LS86设计一个 四位二进制数取反电路。要求画出逻辑电路,列出功能表,并通过实验验证。

五、实验报告要求

1.按实验要求,画出逻辑图。

2.分析实验中出现的问题。

3.比较TTL 门和CMOS 门的性能。

4.写出实验心得体会。 六、预习要求

1.复习基本门电路的工作原理及相应逻辑表达式。

2.熟悉集成电路的引脚及其用途。

3.了解各种逻辑门的多余输入端的处理方法。

表5-1 数据表 表5-2 数据表

A B C F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 0 1 1

A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

表5-3数据表

A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

4.熟悉实验箱的基本功能及使用方法。

七、思考题

1. CMOS门和TTL门的多余输入端的处理方法?

2. CMOS门和TTL门的输出端应注意哪些问题?

3.能否将TTL门作为CMOS门的负载?为什么?

149

154

实验四 加法器及译码显示电路

一、实验目的

1.掌握二进制加法运算。

2.掌握全加器的逻辑功能。

3.熟悉集成加法器及其使用方法。

4.掌握七段译码器和数码管的使用。 二、设计任务与要求 1.基本设计任务与要求

⑴设计一个一位二进制全加器

要求用集成电路74LS00和74LS86实现。 ⑵设计一个余3码至8421码的转换电路

要求用74LS83实现余3码至8421码的转换,将余3码转换成8421码的真值表如表5-7所示。其中A 、B 、C 、D 为余3码,W 、X 、Y 、Z 为8421码。

表5-7余3码转换成8421码的真值表

A B C D

W X Y Z

0 0 1

1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

⑶实现译码显示电路

在基本内容⑵的基础上,再进一步完成译码显示功能。表5-7中W ,X ,Y ,Z 作为译码器的输入,将译码器的输出接至数码管,显示十进制数码。

2.扩展设计任务与要求

⑴在全加器的基础上,设计一个2位二进制加/减法器。画出逻辑图,列出元件清单。在实验箱上安装电路,并验证其逻辑功能。

⑵设计一个4位BCD 码加法器。注意在满10时即进位。画出逻辑图,列出元件清单。在实验箱上安装电路,并验证其逻辑功能。

三、实验原理 1.全加器

全加器是一种由被加数、加数和来自低位的进位数三者相加的运算器。全加器的功能见表5-8。

155

全加器的逻辑表达式为:

i i i i C B A S ⊕⊕= ()i i i i i i B A C B A C +⊕=+1

表5-8全加器的功能表

A i

B i

C i S i C i+1 0 0 0 0 0 1 0 1 0 0 1 1 1

0 1 0 1 1 1 0 1 1 1

1 0 1 0 0 1 1 0 0 1 0 1 1 1

2.二进制加法器

利用全加器可构成二进制串行进位并行输出的加法器。构成的四位二进制加法器如图5-17所示。

图5-17四位二进制加法器

3.译码器

译码器可分为两大类,一类是通用译码器,另一类是显示译码器。显示译码器将BCD 代码译成数码管所需要的驱动信号,以便使数码管显示出相应的十进制数字。

4.七段发光二极管数码管

七段发光二极管数码管分为共阳极、共阴极两种形式。共阳极LED 数码管是将发光二极管的阳极短接后作为公共极,当驱动信号为低电平时,阳极必须接高电平,才能够使二极管发光显示;共阴极LED 数码管与共阳极相反,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如图5-18所示。

图5-18 数码管的外引脚图

156

四、实验仪器、设备与器件

1. ADCL-Ⅳ型电子技术综合实验箱;

2. MS8215型数字万用表;

3.集成电路:74LS83,74LS86,74LS47,74LS00,74LS32,74LS08,CD4008。

4.共阳极LED 数码管。 五、实验内容及步骤

1.按基本设计任务与要求设计的电路,用Multisim 7进行软件仿真,分析仿真结果。

2.在实验仪上安装好电路,检查实验电路接线无误之后接通电源。

3.测试所设计全加器的功能。

4.测试所设计转换器的功能。实验前在逻辑图上标出被加数的数值。实验时通过开关输入余3码,通过观察发光二极管的状态,来验证转换是否正确。

5.在实验内容4的基础上,再进一步完成译码显示功能。W 、X 、Y 、Z 作为译码器的输入,译码器对其进行译码,数码管显示0~9十进制数。画出逻辑图,将数码管显示的十进制数填入表5-9中。

6.按扩展设计任务与要求设计的电路,用Multisim 7进行软件仿真,分析仿真结果。 在实验仪上安装好电路,并验证其逻辑功能。

表5-9数码管显示十进制数

A B

C D W X Y Z 十进制数

0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

六、实验报告要求

157

1.写出实验内容与步骤,画出逻辑图。

2.整理实验记录,并对结果进行分析。 七、预习要求

1.根据实验的要求,画出逻辑电路图,并注明所用集成电路的引脚号。

2.拟定记录测量结果的表格。

3.完成思考题。 八、思考题

1.用74LS83能否实现8421码转换为余3码的转换?

2.用74LS48和共阴极LED 数码管实现一个译码显示电路?

实验五 数据选择器和译码器

一、实验目的

1.掌握数据选择器和译码器的功能。

2.用数据选择器实现逻辑函数。

3.用译码器实现逻辑函数。 二、设计任务与要求 1.基本设计任务与要求

设计一个表决电路。设A 为主裁判,B 、C 、D 为副裁判。只有在主裁判同意的前提下,三名副裁判中多数同意,比赛成绩才被承认,否则,比赛成绩不予承认。

⑴要求用4选1数据选择器实现。列出真值表,画出逻辑图。 ⑵要求用一片3线—8线译码器和与非门实现。画出逻辑图。 2.扩展设计任务与要求

设计一个四位奇偶校验电路。要求用双4选1数据选择器实现,列出真值表,画出逻辑图。

三、实验原理 1.数据选择器

数据选择器的逻辑功能是在地址信号的控制下,从多路数据中选择一路数据作为输出信号,数据选择器的原理如图5-19所示。

74153是一个双4选1数据选择器,功能表见表5-10。由表5-10可以看出,当使能端

E =0时,输出

F 是地址选择输入A 1A 0和数据输入D 0D 1D 2D 3的与或逻辑函数,其函数表达

式是:

F =D 01A 0A + D 11A 0A +D 21A 0A + D 31A 0A

表5-10 数据选择器的功能表

1

F

0D D D D 图5-19 4选1数据选择器的原理示意图

158

将数据选择器的地址选择输入A 1、A 0作为函数的输入变量,数据输入D 0 ~D 3作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端E 始终保持低电平,这样,四选一数据选择器就成为一个二变量的函数产生器。

2.译码器

译码器可分为两大类,一类是通用译码器,另一类是显示译码器。74LS138是一种通用译码器,其逻辑图如图5-20所示,其功能表见教材。其中,A 2、A 1、A 0是输入端,0F 、

1

F

、2F 、 3F 、 4F 、 5F 、6F 、

7

F

是输出端,S 1 、2S 、3S 是使能端,只有

当S 1=1、2S =0、3S =0时,译码器才能正常译码;否则,译码器不实现译码,输出端全部为1。

译码器的每一路输出,实际上是各输入变量组成函数的一个最小项的反变量,利用其中部分输出端输出的与非关系,也就是它们相应最小项的或逻辑关系式,能方便地实现逻辑函数。

例如用74LS138译码器实现F =A B C +A B C +A B C +A B C +A B C 。

2

A A 1

A S 3

S 2

S 1

74138

F 0 F 1 F 2 F 3 F 4 F 5 F 6 F 7

159

只要将变量A 、B 、C 分别接到输入端A 2、A 1、A 0上,将输出端0F 、2F 、5F 、6F 、 7F 接到与非门的输入端,则与非门的输出端F 为:

F =A B C +A B C +A B C +A B C +A B C =76520F F F F F ????

此外,这种带使能端的译码器又是一个完整的数据分配器。例如,若从S 1使能端输入数据D ,其它使能端2=3S =0,则数据的反码通过A 2、A 1、A 0所确定的一路输出线输出。如当A 2A 1A 0=100时,则数据的反码从4F 端输出,即4F =D 。

四、实验仪器、设备与器件

1. ADCL-Ⅳ型电子技术综合实验箱;

2. MS8215型数字万用表;

3.集成电路:74LS153、74LS138、 74LS30、74LS151。 五、实验内容及步骤

1.按基本设计任务与要求设计的电路,用Multisim 7进行软件仿真,分析仿真结果。

2.在实验仪上安装好电路,检查实验电路接线无误之后接通电源。

3.测试所设计表决电路的功能。

4.按扩展设计任务与要求设计的电路,用Multisim 7进行软件仿真,分析仿真结果。 在实验仪上安装好电路,并验证其逻辑功能。

六、实验报告要求

1.根据实验内容要求,写出实验步骤,画出逻辑图。

2.整理实验记录,并对结果进行分析。 七、预习要求

1.了解数据选择器和译码器的功能。

2.熟悉实验内容。

3.按基本设计任务与要求设计电路,注明集成电路的外引脚号。

4.完成思考题。 八、思考题

1.用4选1数据选择器实现8选1选择器的功能?

2.用两个3线—8线译码器实现4线—16线的译码器?

3.用4选1数据选择器实现F (A ,B ,C ,D )=∑m (1,5,6,7,9,11)函数发生器。

实验八计数、译码和显示电路

一、实验目的

1.进一步学习译码器和七段显示器的使用方法;

2.提高综合实验技能;

3.掌握构成六十进制计数、译码和显示电路。

二、设计任务与要求

1.基本设计任务与要求

⑴设计一个六进制计数器。

⑵设计一个十进制计数器

2.扩展设计任务与要求

⑴设计一个三位十进制计数、译码和显示逻辑电路。

⑵设计一个电子秒表。要求最大计时为59.99秒。

三、实验原理

计数、译码和显示电路在各种类型的数字仪表、检测设备及其他数字化系统中都是必不可少的,以六十进制计数、译码和显示电路为例,其方框图如图5-28所示。

图5-28六十进制计数、译码和显示电路

1.计数器

六十进制计数器,可以选用已有的典型电路(略)。

2.译码器

74LS48是BCD码七段译码器,其功能见表5-15。

表5-15 74LS48功能表

168

169

1 × 0 1 1 1 1 1 × 1 0 0 0 1 1 × 1 0 0 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 1 1 7 8 9 × × × × × × 0 1 0 0 0 0 0 0 0 × × × × × 1

0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1

熄灭 熄灭 8

译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。

⑴消隐(灭灯)输入端BI 为低电平有效

当消隐(灭灯)输入端BI =0时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1时译码器译码。

⑵灯测试(试灯)输入端LT 为低电平有效

当灯测试(试灯)输入端LT =0(BI /RBO =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端=1时译码器译码。

⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当

BI =LT =1时,若=0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数

码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0时,可使不显示的零消隐。

3.显示器

采用七段发光二极管显示器,见实验四。 四、实验仪器、设备与器件

1. ADCL-Ⅳ型电子技术综合实验箱;

2. MS8215型数字万用表;

3.集成电路: 74LS48,74LS160,74LS00,

CD4011; 4.共阴极数码管; 五、实验内容与步骤

1.按基本设计任务与要求设计的电路,用Multisim 7进行软件仿真,分析仿真结果。

2.在实验仪上安装好电路,检查实验电路接线无误之后接通电源。

3.测试所设计六进制计数器和十进制计数器的功能。

4.测试六十进制计数、译码和显示逻辑电路。加单脉冲检查六十进制计数、译码和显示逻辑电路的功能。

六、实验报告与要求

1.画出六十进制计数、译码和显示的逻辑电路图。

2.记录实验中测得的数据和波形。

3.分析实验中出现的故障原因,并总结排除故障的收获。

七、预习要求

1.复习计数、译码和显示电路的工作原理。

2.预习74LS48译码器和共阴极七段显示器的工作原理及使用方法。

3.绘出十进制计数、译码和显示电路中各集成芯片之间的连接图。

4.完成思考题。

八、思考题

1.如何利用计数、译码和显示逻辑电路来测量机械开关产生的抖动次数?

170

171

实验九 计数器、数值比较器和译码器

一、实验目的

1.熟悉集成译码器、数值比较器;

2.掌握产生脉冲序列的一般方法。 二、实验原理 1.计数器

集成计数器74LS161,可以构成任意进制的计数器。

2.数值比较器

集成数值比较器74LS85的功能见表5-16。其中A 、B 为两个四位二进制数,即A =A 3A 2A 1A 0,B =B 3B 2B 1B 0,比较这两个二进制数的大小要从最高位开始至最低位。

除了两个四位二进制数外,还有芯片级联用的输入端(a b ,a =b ),用来输入低四位比较结果。最低位芯片的a =b 端应接高电平,而a b 端应接低电平。 3.脉冲序列发生器

脉冲序列发生器能够产生一组在时间上有先后的脉冲序列,利用这组脉冲可以使控制形成所需的各种控制信号。通常脉冲序列发生器由译码器和计数器构成。

⑴用74LS161和74LS138及逻辑门产生脉冲序列

将74LS161接成十二进制计数器,然后接入译码器74LS138。电路如图5-29所示。

A ×××× 1 0 0①

②③比较输入

级联输入

输出

A 3

B 3A 2B 2A 1B 1A 0B 0(a >b ) (a

(A >B ) (A

3>B 3

A 3<

B 3A 3=B 3A 3=B 3A 3=B 3

A 3=

B 3A 3=B 3A 3=B 3A 3=B 3A 3=B 3

A 3=

B 3×××A 2>B 2A 2

×××××××A 1>B 1A 1

×××××××××××A 0>B 0A 0

×××××××××××××××××××××××1 0 00 1 00 0 10 1 01 0 00 1 01 0 00 1 01 0 00 1 01 0 00 1 00 0 1

表74LS85功能表

5-16

图5-29用74LS161和74LS138及逻辑门构成的脉冲序列发生器

⑵用74LS161和74LS85及逻辑门产生脉冲序列。

将74LS161构成十二进制计数器,然后接入数值比较器74LS85,电路如图5-30所示。

图5-30 用74LS161和74LS85及逻辑门构成的脉冲序列发生器

三、实验仪器、设备与器件

1. ADCL-Ⅳ型电子技术综合实验箱;

2. MS8215型数字万用表;

3. TDS1002型数字存储示波器;

4. 集成电路:74LS85,CD4585,74LS161,74LS163,74LS138,74LS30,74LS10,74LS151。

四、实验内容与步骤

1.基本内容

⑴按图5-29、图5-30所示电路,用Multisim 7进行软件仿真,分析仿真结果。

⑵在实验仪上安装好电路,检查实验电路接线无误之后接通电源。

⑶加入时钟脉冲,观察输出状态,绘出输出波形。将观察到的输出状态进行分析,若正确,则进入下一步;否则,重新检查,再做实验内容⑴、⑵。

2.扩展内容

用74LS138和74LS151及逻辑门实现一个比较电路。要求比较两个四位二进制数,当两个四位二进制数相等时输出为1,否则为0。

将设计好的电路,用Multisim 7进行软件仿真,分析仿真结果。

在实验仪上安装好电路,检查实验电路接线无误之后接通电源。加入单脉冲,观察输出状态,将观察到的输出状态进行分析,若正确,则结束;否则,重新检查,再做,直至正确。

172

五、实验报告要求

1.分析各电路的功能,将实测输出状态与分析结果比较。

2.将图5-29与图5-30电路的功能进行比较。

六、预习要求

1.预习集成译码器的功能和使用方法。

2.预习集成数值比较器的功能和使用方法。

3.预习产生脉冲序列的一般方法。

七、思考题

1.产生脉冲序列的一般方法有哪些?

2.试用74LS161和门电路设计一个脉冲序列电路。要求电路的输出端Y在时钟脉冲CP 的作用下,能周期性地输出10101000011001。

173

174

实验十 控制器和寄存器

一、实验目的

1.掌握用计数器、译码器和逻辑门构成控制器的方法。

2.熟悉移位寄存器的功能。

3.熟悉可逆计数器的功能。 二、实验原理 1.移位器寄存器 ⑴移位器寄存器

74LS194是4位双向移位器寄存器,最高时钟频率为36MHz 。74LS194具有并行输入、并行输出,左移和右移的功能。其功能见表5-17。

表5-17 74LS194的功能

⑵用74LS194组成的八位并行—串行数据转换电路 在如图5-31所示的电路中,并行输入数据为0N 1N 2N 3N 4N 5N 6 N 7,当启动命令ST=0时,S 1 S 0=11,输入数据送入寄存器,即1号芯片的输出Q A Q B Q C Q D =0N 1N 2N 3;2号芯片的输出Q A Q B Q C Q D = N 4N 5N 6 N 7,故门G 2的输出为1。当启动命令ST 由0变1之后,S 1 S 0=01,移位器寄存器中的数据右移,串行输出端输出数据。同时,由于1号芯片的右移输入端SR=1,在七个CP 脉冲之后,除2号芯片的Q D 外,两个芯片的输出均为1,使门G 2的输出为0。这时S 1 S 0=11,自动地为下一次送入数据做好准备。

图5-31 八位右移移位器寄存器

2.可逆计数器

74LS190是同步十进制可逆计数器,它是靠加/减控制端来实现加法计数和减法计数的。

其工作波形图参见教材。74LS190有如下功能:

⑴置数

只要在置入端加入负脉冲,就可以使Q3Q2Q1Q0=D3D2D1D0。

⑵加法计数和减法计数

加/减控制端为低电平时,做加法计数;加/减控制端为高电平时,做减法计数。

⑶保持

允许端为低电平时,做加/减计数;允许端为高电平时,芯片处在保持状态。

3.控制器

74LS161、74LS138及基本门构成的控制器如图5-32所示。74LS161接成六进制计数器与十进制计数器构成六十进制计数器,通过74LS138译码器及与非门得到控制信号,控制寄存器的工作状态,使寄存器的输出端的发光二极管亮、灭变化,从而实现光点的移动。

图5-32 发光二极管流水灯控制器

三、实验仪器、设备与器件

1. ADCL-Ⅳ型电子技术综合实验箱;

2. TDS1002型数字存储示波器;

3.SG1642B型智能函数信号发生器;

175

176

4.集成电路:74LS190、74LS161、74LS138、74LS00、74LS10、74LS194、74LS30。 四、实验内容与步骤 1.基本内容

⑴将74LS190接成十进制减法计数器

可逆计数器74LS190的加/减控制端接高电平,使其为减法计数。置入端加高电平,允许端加低电平,加时钟脉冲,使74LS190工作。用示波器观察输出状态,若做减法计数,则进入下一步。

⑵将74LS161接成六进制加法计数器

检查是否构成了六进制加法计数,观察输出状态,若做六进制加法计数,则进入下一步

⑶将74LS190、74LS161、74LS138及与非门构成光点移动电路

控制器产生的输出信号S 1 、S 0,观察S 1 、S 0的状态是否符合要求,若符合要求,则进入下一步。

⑷控制电路

74LS194的输出端接发光二极管如图5-32所示。要求能控制光点右移、左移、停止。观察光点移动规律。若达到要求,则结束;否则,查找原因,进一步调试,直到达到要求为止。

2.扩展内容

⑴用74LS190构成四位十进制计数器,实现0000~9999计数。 ⑵用74LS194组成脉冲分配器。 五、实验报告与要求

1.分析图5-32所示电路的工作原理,将分析结果填入表5-18中。

表5-18 数据表

C P

M

Q 2 Q 1 Q 0 S 1 S 0 Q A Q B Q C Q

D ↑ ↑ ↑ ↑ ↑ ↑ ↑

0 1 1 1 1 1 1

2.简述实验内容与步骤,画出逻辑图。

3.记录测得的数据和波形,整理实验记录。

4.分析实验中出现的故障原因,并总结排除故障的收获。 六、预习要求

1.了解移位器寄存器74LS194、可逆计数器74LS190的逻辑功能。

2.自拟实验步骤和电路。 七、思考题

1. 移位器寄存器有哪些应用?

208

第六章 数字电子技术课程设计

课程设计一 交通灯定时控制系统

一、设计任务

设计一个十字路口交通灯信号控制器,要求如下:

⑴十字路口设有红、黄、绿、左拐指示灯;有数字显示通行时间,以秒为单位作减法计数。

⑵主、支干道交替通行,主干道每次绿灯亮40s ,左拐指示灯亮15s ;支干道每次绿灯亮20s ,左拐指示灯亮10s 。

⑶每次绿灯变左拐时,黄灯先亮5s(此时另一干道上的红灯不变),每次左拐指示变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。

⑷当主、支干道任意干道出现特殊情况时,进入特殊运行状态,两干道上所有车辆都禁止通行,红灯全亮,时钟停止工作。

⑸要求主、支干道通行时间及黄灯亮的时间均可在0~99s 内任意设定。

二、设计提示及参考电路

某交通灯控制系统的组成框图如图6-1所示。状态控制器主要用于记录十字路口交通灯的工作状态,通过状态译码器分别点亮相应状态的信号灯。秒信号发生器产生整个定时系统的时基脉冲,通过减法计数器对秒脉冲减计数,达到控制每一种工作状态的持续时间。减法计数器的回零脉冲使状态控制器完成状态转换.同时状态译码器根据系统下一个工作状态决定计数器下一次减计数的初始值。减法计数器的状态由BCD 译码器译码、数码管显示。在黄灯亮其间,状态译码器将秒脉冲引入红灯控制电路,使红灯闪烁。

图6-1交通灯控制系统的组成框图

1.状态控制器 根据设计要求,各信号灯的工作顺序流程如图6-2所示。信号灯四种不同的状态分别用S 0(主绿灯亮,支红灯亮)、S 1(主黄灯亮,支红灯闪烁)、S 2(主红灯亮,支绿灯亮)、S 3(主红灯闪烁,支黄灯亮)表示,其状态编码及状态转换图如图6-3所示。

数字电路试验指导书

第一篇数字电路实验指导书 实验一集成逻辑门的功能测试与数字箱的使用 一、实验目的 1、了解数字实验箱的原理,掌握其使用方法 2、掌握基本门电路逻辑功能的测试方法 3、了解TTL和CMOS器件的使用特点 二、实验一起及实验器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件: 74LS00 1片CD4001 1片 74LS86 1片CD4011 1片 三、实验任务 (一)数字实验箱的使用 1、用500型万用表分别测出固定直流稳压源的出去电压值 2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观 察单次脉冲前后沿的变化 3、用示波器测出连续冲源的频率范围及幅度Vp-p值 4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试 1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别 对其逻辑功能进行静态测试 2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 四、预习要求 1、复习数字试验箱的组成和工作原理 2、复习TTl和CMOS电路的命名,分别及使用规则 3、认真查阅实验器件的功能表和管脚图 4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路 实验二集成逻辑门的参数测试 一、实验目的 1、熟悉集成逻辑门主要参数的意义 2、掌握集成逻辑门主要参数的测试方法 3、了解TTL器件和CMOS器件的使用特点 二、实验仪器与器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件:

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

数字电子技术实验指导书

数字电子技术实验指导书 (学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截

《数字电路设计实训》实验指导书

数字电路设计实训实验指导书 编写人:许一男 审核人:金永镐 延边大学工学院 电子信息通信学科

目录 一、基础实验部分 实验一门电路逻辑功能及测试 (1) 实验二组合逻辑电路(半加器、全加器及逻辑运算) (5) 实验三 R-S,D,JK触发器 (9) 实验四三态输出触发器,锁存器 (12) 实验五集成计数器及寄存器 (15) 实验六译码器和数据选择器 (18) 实验七 555时基电路 (21) 二、选做实验部分 实验八时序电路测试机研究 (26) 实验九时序电路应用 (29) 实验十四路优先判决电路 (31) 三、创新系列(数字集成电路设计)实验部分 实验十一全加器的模块化程序设计与测试 (33) 实验十二串行进位加法器的模块化程序设计与测试 (35) 实验十三 N选1选择器的模块化程序设计与测试 (36)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路逻辑功能 2. 熟悉数字电路学习机及示波器使用方法 二、实验仪器及材料 1. 双踪示波器 2. 器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路工作原理及相应逻辑表达式。 2. 熟悉所用集成电路的引线位置及引线用途。 3. 了解双踪示波器的使用方法。 四、实验容 实验前按学习机使用说明先检查学习机电源是否正 常,然后选择实验用的集成电路,按自己设计的实验 电路图接好连线,特别注意Vcc及接地线不能接错。 线接好后经实验指导教师检查无误方可通电实验。实 验中改动接线需先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能图1.1 (1)选用四输入与非门74LS20一只,插入面包板,按图1.1接线,输入端 接S 1~S 4 (电平开关输出端口),输出端接电平显示发光二极管(D 1 ~D 8 任意一个)。 (2)将电平开关按表1.1置位,分别测输出电压及逻辑状态。 表1.1

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

相关文档 最新文档