文档库 最新最全的文档下载
当前位置:文档库 › 哈工大数电实验预考核

哈工大数电实验预考核

哈工大数电实验预考核
哈工大数电实验预考核

Read me:

1.用法,出现在题干里的选项是正确选项,出现在选项下面的选项是错误选项。

2.大部分题看看实验视频就可以得出结果,考前一定看视频别过分依赖这机经。

3.题库不全,有些题只排除部分错误答案,没得出正确答案,因为在那之后我已经通过预考核,没法遇上同样的题,错过了就错过了。

4.祝PRC 65周年生日快乐。

·实验一组合数字电路基础实验 (开放时间:2014/10/8至2014/10/18)试题2、本次实验芯片的供电电源电压为_A___。

?A:+5V

?B:+12V

?C:±12V

?D:±5V

试题3、搭接本次组合数字电路实验时,应将芯片插在_D_。

?A:单级放大电路子板

?B:集成运算放大电路子板

?C:面包板

?D:EEL-69实验平台右侧芯片座

试题1、74LS00芯片的每个与非门为几输入与非门

?A:1个输入

?B:2个输入

?C:3个输入

?D:4个输入

bd

试题2、做本次数字电路实验,在EEL—69实验箱上选哪一路接线柱C

A:12V、GND

?B:+5V、-5V

?C:+5V、GND

?D:-5V、GND

试题3、74LS151芯片是: D

?A:与非门

?B:8选1数据选择器

?C:4选1数据选择器

?D:双4选1数据选择器

试题4、

C

1)A:1)

?

2)B:2)

?

3)C:3)

?

试题1、74LS00芯片包含几个与非门 D ? 1个与非门

A :1个与非门 ?

2个与非门

B :2个与非门 ?

3个与非门

C :3个与非门 ?

4个与非门

D :4个与非门

试题5、74LS20芯片包含几个与非门 B ?

1个与非门

A :1个与非门 ?

2个与非门

B :2个与非门 ?

3个与非门

C :3个与非门 ?

4个与非门

D :4个与非门

试题3、C

? 1)

A :1) ? 2)

B :2) ?

3)

C :3)

试题3、组合数字电路的输出采用下面何种设备测试D ? 信号发生器

A :信号发生器 ? 万用表

B :万用表 ? 示波器

C :示波器

?

EEL-69实验平台

D :EEL-69实验平台发光二极管

试题4、74LS48是_____________。

?:与非门

?:数据选择

?:显示译码器

?:比较器

D A

试题4、74LS253芯片是_________。 D

?:与非门

?8选1数据选择器:8选1数据选择器

?4选1数据选择器:4选1数据选择器

?双4选1数据选择:双4选1数据选择器

试题5、本次实验中,直流稳压电源输出的电压为 A

?:+5V

?:+12V

?:±12V

?:±5V

试题1、做本次数字电路实验,直流稳压电源如何设定C

?:电压调整至5V

?:电压调整至12V

?选择固定5V电源:选择固定5V电源

·

试题2、本次数字电路实验的Vcc是A

:单电源

:双电源

:正负电源

试题5、下面的说法哪个是正确的

74LS138为中规模:74LS138为中规模集成译码器

74LS138为中规模:74LS138为中规模集成编码器

74LS138为门电路:74LS138为门电路芯片

b

实验二触发器及其应用电路 (开放时间:2014/10/20至2014/11/1)试题1

、74LS112芯片含有______________个JK触发器。

?

A:1个

?

B:2个

?C:3个

C

试题3、 74LS74芯片含有____B___个D触发器。

?:1个

?:2个

?:3个

试题1、74LS74芯片的触发方式为_________________。

?

:时钟上升沿

?:时钟下降沿

?

上升和下降沿都

C :上升和下降沿都有效

B

试题2、74LS112芯片含有______________个JK 触发器。 ?

1个

A :1个 ?

2个

B :2个 ?

3个

C :3个

C

试题4、

74LS74芯片输出端实现状态翻转时,其中异步置位端和异步复

位端应接__B 。

? (0,0)

A :(0,

0) ? (1,1)

B :

(1,1) ?

(0,1)

C :(0,1)

试题1、芯片74LS74是__A___元件。

? D触发器A :

D 触发器 ? 计数器

B :计数器 ?

JK触发器

C :JK 触发器

3、 触发器的CP 端即可以接在EEL-69模拟、数字电子技术实验箱的手动单脉冲逻辑开关上,也可以接在时钟脉冲信号孔上。观察电路输出是连续变化时最好接在____b____上。 ? 手动单脉冲逻辑

A :手动单脉冲逻辑开关 ?

时钟脉冲信号孔

B :时钟脉冲信号孔

试题5、芯片74LS112是__C__元件。

?D触发器A:D触发器

?计数器B:计数器

?JK触发器C:JK触发器

74LS74芯片的异步置位端和异步复位端接高电平时可以悬空吗B

可以A:可以

?

?

试题2、74LS112芯片的触发方式为______________。

时钟上升沿A:时钟上升沿

?

?

?

?A

试题4、使用74LS74芯片,14号管脚接在__A___孔。

+5V A:+5V

?

?

?

·实验三时序逻辑电路应用 (开放时间:2014/11/3至2014/11/15)题2、对于74LS161,以下几个引脚优先级最高的是_____________。

?

:1)

?

:2)

?

:3)

?

:4)d

试题1、对于74LS194,当处于右移数据输入功能时,第9引脚S0和第10引脚S1应为_A_。

1)S0=1,S1=0? ??2)S0=1,S1=1??? 3)S0=0,S1=0??? 4)S0=1,S1=1

?

:1)

?

:2)

?

:3)

?

:4)

试题5、对于74LS192,第14引脚CR接什么电平时,计数器清零 C ?

:低电平

?

:高电平

?

:任意电平

试题5、驱动74LS161的时钟动作沿是____________

?

:时钟上升沿

?

:时钟下降沿

?上升和下降沿都:上升和下降沿都有效

?上升沿和下降沿:上升沿和下降沿随机

CB

试题2、 对于74LS192,当选用减法计数时,时钟脉冲应接到哪个引脚A

?

A :能 ?

不能

B :不能

? 试题3、

D ?

1)

A :1) ?

2)

B :2) ?

3)

C :3) ?

4)

D :4)

试题3、 74LS161的异步复位端为______________。

?1)

A :1) ?2)

B :2) ?3)

C :3) ?4)

D :4)

C

试题3、 74LS161的并行输入控制端为______________。

1)A:1)

?

?

?

?

试题4、对于74LS161,当计数功能选择控制端为_b____,计数器处于计数状态

EP=1;ET=0A:EP=1;ET=0

?

?

?

?

试题5、

1)A:1)

?

?

?

:4)

?

CD

·题1、一片74LS161芯片有几个引脚 D

?:6脚

?:8脚

?:14脚

?:16脚

试题2、中规模集成计数器74LS161的Vcc是第几引脚GND是第几引脚 D

?:1脚,16脚

?:8脚,14脚

?:16脚,1脚

?:16脚,8脚

试题3、对于74LS194,当处于左移数据输入功能时,第9引脚S0和第10引脚S1应为__D_。

1)S0=1,S1=0? ??2)S0=1,S1=1??? 3)S0=0,S1=0??? 4)S0=1,S1=1

?:1)

?:2)

?:3)

?:4)

试题4、

1)A:1)

?

?

?

?

试题5、本次电路实验使用的芯片的供电电压Vcc应为 C ±12V A:±12V

?

?

?

?

试题4、对于74LS194,当处于并行输入功能时,第9引脚S0和第10引脚S1应为_b__。

1)S0=1,S1=0 2)S0=1,S1=1 3)S0=0,S1=0 4)S0=1,S1=1

1)A:1)

?

2)B:2)

?

3)C:3)

?

4)D:4)

?

试题2、74LS161的预置数方式是_A___

同步数据置入A:同步数据置入

?

?

?控制端决定同步C:控制端决定同步或是异步

试题3、对于74LS194,当处于保持状态功能时,第9引脚S0和第10引脚S1应为_C_。

1)S0=1,S1=0? ??2)S0=1,S1=1??? 3)S0=0,S1=0??? 4)S0=1,S1=1 ?1)A:1)

?2)B:2)

?3)C:3)

?4)D:4)

试题4、

B

1)A:1)

?

?

?

试题5、 74LS161的异步复位端为______________。

1)A:1)

?

?

?

4)D:4)

?

CD

题1、对于74LS192,当选用加法计数时,时钟脉冲应接到哪个引脚b

1)A:1)

?

?

?

?

试题3、74LS192是___________计数器芯片。

单时钟2-10进制A:单时钟2-10进制计数器

?

?

?

?

实验四 555定时器应用电路 (开放时间:2014/11/17至2014/11/29)试题3、555定时器的Vcc是第几管脚GND是第几管脚 B 1脚;8脚A:1脚;8脚

?

?

?

?

试题1、

单稳态触发器的暂稳时间t

w

与输入信号的关系应为__D_____。

(1) t

w 小于输入信号的周期? (2) t

w

小于输入信号的低电平时间

(3) t

w 小于输入信号的高电平时间? (4) t

w

大于输入信号的低电平时间

:1)

:2)

:3)

:4)

试题2、多谐振荡器中电容电压最大值和最小值分别为___B_______。

:+Vcc和0;

+2/3Vcc和+1/3V:+2/3Vcc和+1/3Vcc

:±1/3Vcc

试题5、施密特触发器电容电压最大值和最小值分别为

B___________。

?:+Vcc和0

?+2/3Vcc和+1/3V:+2/3Vcc和+1/3Vcc

?:±1/3Vcc

试题3、本实验中555芯片需要的直流稳压电源为__A___。

:+5V

:±5V

:+12V

:±12V

题2、555芯片的管脚为__A__。

?

:8个

?

:14个

?

:16个

?

:18个

试题3、多谐振荡器的可调电阻R

A

增大时,输出波形的___A_____。?周期增大,占空:周期增大,占空比增大

?周期减小,占空:周期减小,占空比增大

?周期增大,占空:周期增大,占空比减小

?周期减小,占空:周期减小,占空比减小

试题4、压控振荡电路中,随着控制电压的减小,输出波形的

___D___。

?周期增大,占空:周期增大,占空比增大

?周期减小,占空:周期减小,占空比增大

?周期增大,占空:周期增大,占空比减小

?周期减小,占空:周期减小,占空比减小

试题2、压控振荡电路中,随着控制电压的增大,输出波形的

__A_____。

周期增大,占空:周期增大,占空比增大

?周期减小,占空:周期减小,占空比增大

?周期增大,占空:周期增大,占空比减小

?周期减小,占空:周期减小,占空比减小

减小时,输出波形的____D____。

试题5、多谐振荡器的可调电阻R

A

?周期增大,占空:周期增大,占空比增大

?周期减小,占空:周期减小,占空比增大

?周期增大,占空:周期增大,占空比减小

?周期减小,占空:周期减小,占空比减小

试题3、单稳态触发器的输入信号为一高电平时,输出为__B_____。

?:高电平

?:低电平

?:不确定

·实验七 A/D和D/A转换器(3选1) (开放时间:2014/12/8至2014/12/20)试题2、在D/A转换电路中,数字量的位数越多,分辨输出最小电压的能力__B_

:越弱

?:越强

试题3、 ADC0804是__A位A/D转换器

?:8

?:10

试题2、 ADC0804是_A_的A/D转换器

?:逐次逼近式

?:双积分型

试题4、数模转换电路中,模拟地和数字地是否需要共地A

?

:需要

?

:不需要

试题5、某D/A转换器满刻度输出电压为10V,其最小输出电压增量为U LSB=39mV,由此可知该转换器是__A_为D/A转化器

?

:8

?

:10

试题1、 D/A转换器是将____信号转换为____信号A

:数;模

:模;数

?

试题5、数模转换电路中,模拟地和数字地是否需要共地A

?:需要

?:不需要

试题4、 ADC0804是A_位A/D转换器

:8

:10

试题5、 A/D转换器是将____信号转换为____信号B

:数;模

:模;数

·实验七抢答电路的设计(3选1) (开放时间:2014/12/8至2014/12/20)

试题1、一片74LS175数字芯片里面集成了几个D触发器D

?:1

?:2

?:3

?:4

试题1、 74LS175数字芯片的清零端和时钟端是几个D触发器共用D

?:1

?:2

?:3

?:4

试题2、 74LS32数字芯片是什么门D

?:与门

?:与非门

?:非门

?:或门

试题3、 74LS161是什么芯片D

?:译码器

?:编码器

?:寄存器

?:计数器

如果74LS161工作在计数状态,那么ENP和ENT控制端应当

接什么电平D

?ENP接高电平,E:ENP接高电平,ENT接低电平

?ENP接低电平,E:ENP接低电平,ENT接高电平

?ENP接低电平,E:ENP接低电平,ENT接低电平

?ENP接高电平,E:ENP接高电平,ENT接高电平

试题3、一片74LS32数字芯片里面有几个或门

?:1

?:2

?:3

?:4

A

试题4、 74LS32数字芯片有几个引脚D

?:10

?:12

?:16

?:14

抢答电路中的时钟脉冲电路怎么设计B

?由555定时器组成:由555定时器组成的单稳态电路

?由555定时器电路:由555定时器电路组成的多谐振荡器?由555定时器电路:由555定时器电路组成的压控振荡电路试题5、 74LS175数字芯片的供电电压是多少B

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

最新哈工大数电期末试题+答案

一、选择与填空(共8分) 1.函数表达式Y =C D C B A + ++,则其对偶式为(不必化简): Y '= 。 2.图1-2为CMOS 工艺数字逻辑电路,写出F 的表达式:F = 。 F o /mV u u 图1-2 图1-3 3.图1-3为4位 (逐次逼近型、双积分型、流水线型)A/D 转换器的转换示意图,转换结果为 。 4.对于一个8位D/A 转换器,若最小输出电压增量为0.01V ,当输入代码为01001101时,输出电压u o = V ,分辨率= 。 5.已知时钟脉冲频率为f cp ,欲得到频率为0.25f cp 的矩形波,哪种电路一定无法实现该功能( ) A .四进制计数器; B .四位二进制计数器; C .单稳态触发器; D .施密特触发器。 6.某EPROM 有8条数据线,10条地址线,其存储容量为 字节。 一、(8分)每空1分 1. ()A B CDC +; 2. X A XB +或X B A F X ; 3. 逐次逼近型,0101; 4. 0.77V , 8 121 -或0.0039; 5. D ; 6. 210 二、回答下列问题(共10分) 1.电路如图2-1所示。V 5CC =V ,R 取值合适,写出F 的表达式(不必化简)。

3210 图2-1 解: 3210F ABS ABS ABS ABS =???————————————————3分 2.卡诺图化简:(),,,(0,1,2,3,5,8)P A B C D m =∑,约束条件为:0ABD BCD ABC ++= 解: AB CD 00 01 1110 00011110 1 1 ΦΦ Φ 01 1 1 001 ΦΦ ——————————2分 P AD BD =+——————————————1分

操作系统实验报告哈工大

计算机操作系统课程实验报告 专业信息管理与信息系统 班级 1203601 学号 120360117 姓名乐云 指导教师周学权

计算机操作系统课程实验报告 专业信息管理与信息系统 班级 1203601 学号 120360114 姓名郭鑫 指导教师周学权

操作系统实验 实验1 使用虚拟机安装系统 4学时 【实验目的】 1.了解虚拟机软件的使用。 2.了解使用虚拟机安装Windows及Ubuntu操作系统。 【实验内容】 1. 安装虚拟机软件VirtualBox。 2. 配置VirtualBox环境安装WindowsXP,并在虚拟机中启动windowsXP。 3. 配置VirtualBox环境安装Ubuntu 10.10,并在虚拟机中启动Ubuntu。【实验环境】 VirtualBox4.0 Windows XP Ubuntu 8.04 【实验过程】 一、创建虚拟机 首先运行VirtualBox,单击左上角的“新建”。 单击下一步。

出现如下图的界面,在名称后输入自己起的名字,如test 选择自己想要安装的系统类型和版本,本次试验是安装windows xp系统 设置完成后,单击下一步。。 接下来是设置虚拟机的内存大小,本次实验操作的计算机内存为4GB,所以我选择分配给我的虚拟机的内存为512MB,然后单击下一步。 接着创建虚拟硬盘,选择创建新的虚拟硬盘,单击下一步。

选择虚拟硬盘的类型,默认选择了VDI类型,单击下一步。 接下来选择为动态扩展类型,因为计算机的存储空间不大。单击下一步。 动态扩展:如果你为你的虚拟磁盘分配的是10G空间,虚拟磁盘占用真实磁盘空间的范围就为0~10G。 固定大小:如果你为你的虚拟磁盘分配的是10G空间,虚拟磁盘占用真实磁盘空间永远不是10G,不管虚拟磁盘空间是否被全部使用。 选择虚拟机在本地磁盘中的位置和大小,单击下一步。

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大电工技术 试题

哈尔滨工业大(威海) 2003 /2004 学年 秋 季学期 电工技术 试题(A)  题号 一 二 三 四 五 六 七 八 九 十 总分 附加题 分数 一、选择与填空( 20分 ,1-7每题 2分,8题每空2分) 1.图(1)所示电路中,a 、b 间的等效电阻为(4?)。   (1) (2)  2.试计算图(2)所示电路中的 A点的电位为(5V)。 3.将下图所示电路的ab 二端网络化成戴维南等效电路。 4.三相异步电动机的额定转速为 1460r/min 。当负载转矩为额定转矩的一 半时,电动机的转速为(1480r/min )。 姓名 班级: 注 意 行 为 规 范 遵 守 考 试 纪 律 10V I +-a b 0.5 I 1k Ω 1k Ω

5.电路如图所示,已知X L =R=X C ,并已知安培计A 的读数为5A ,则A 1的读数为(52A ),A 2的读数为(5A )。 6.有一交流铁心线圈,线圈匝数加倍,线圈的电阻及电源电压保持不变。铁心的磁感应强度将(增大、减小、不变),线圈中的电流将(增大、减 小 、不变) 7.将R L =8Ω的扬声器接在输出变压器的副绕组上,已知N1=300匝,N2=100匝,信号源电动势E=6V,内阻R0=100Ω,扬声器得到的功率为 (0.0876W)。 8.三相异步电动机的额定转速为1470转/分,电源电压为380V,三角形联接,功率为30kW ,效率为93%,功率因数为0.85。试计算额定转矩为(194.90N m ?);额定转差率为( 2% );额定电流为( 57.66A )。 二、图中N为无源电阻网络,已知当US=10V,IS=0时,UX=10V;当US=0,IS=1A时,UX=20V。求当US=20V,IS=3A时,UX为多少。(7分)  解: 由线性电路的叠加定理得: a s U + b s I = c x V 当V V s 10=时 V U I s s 10,0== 即 10a=10c + - U s + - U x

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

哈工大2011年数电期末试题+答案

哈工大2011 年秋季学期 数字电子技术基础试题(A) 一、(10分)填空和选择填空(每空1分) 1.根据反演规则,若Y=AB C D C +++,则Y=() AB C D C ++?。 2. 图1所示门电路均为TTL门,则电路输出P1=() AB BC AB BC + ;P2=() A C C A C ++。 P2 C P1 图1 3.由TTL门组成的电路如图2所示,已知它们的输入短路电流为 I S= 1.6mA,高电平输入漏电流I R=40μA。试问:当A=B=1时,G1的灌(拉,灌)电流为 3.2mA;A=0时,G1的拉(拉,灌)电流为160μA。 图2 4.3位扭环形计数器的计数长度为 6 。 5.某EPROM有8条数据线,13条地址线,则存储容量为64kbit。 6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z,数据从输入端到达输出端被延迟128 μs。

二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。 BIN /OCT BIN /OCT ( I ) ( II ) B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 图3 解: 114114F m m m m =+= BIN/OCT BIN/OCT ( I )( I I )B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 D A B C 1 F

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

电工学课件(哈工大)第三章_正弦交流电路资料

哈尔滨工业大学 电工学教研室 第3章正弦交流电路 返回

3.1 正弦电压与电流3.3 电阻元件、电感元件与电容元件3.4 电阻元件的交流电路3.5 电感元件的交流电路3.6 电容元件的交流电路 3.7 电阻、电感与电容元件的交流电路3.8 阻抗的串联与并联3.9 交流电路的频率特性3.10 功率因数的提高 目录 3.2 正弦量的相量表示法

3.1 正弦电压与电流 直流电和正弦交流电 前面两章分析的是直流电路,其中的电压和电流的大小 和方向是不随时间变化的。 I,U O t 直流电压和电流 返回

t i u O 正弦电压和电流 实际方向和参考方向一致 实际方向和参考方向相反 + - 正半周 实际方向和参考方向一致 + _ u R ⊕ i 负半周 实际方向和参考方向相反 + _ u R ⊕ i 正弦交流电的电压和电流是按照正弦规律周期性变化的。

3.1.1 频率和周期 正弦量变化一次所需要的时间(秒)称为周期(T )。每秒内变化的次数称为频率(),单位是赫兹(Hz )。 我国和大多数国家采用50Hz 的电力标准,有些国家(美国、日本等)采用60Hz 。 小常识 正弦量变化的快慢还可用角频率来表示:f T ππω22==t T 2 T 2 3T t ωπ π 2π3π 4T 2u i O f 频率是周期的倒数: f =1/T 已知=50Hz,求T 和ω。 [解]T =1/=1/50=0.02s, ω=2π=2×3.14×50=314rad/s f f f 例题3.1

3.1.2 幅值和有效值 瞬时值和幅值 正弦量在任一瞬间的值称为瞬时值,用小写字母表示,如、u、e等。 i 瞬时值中的最大的值称为幅值或最大值,用带下标m的大写字母表示,如I U m、E m等。 m、 有效值 在工程应用中常用有效值表示交流电的幅度。一般所讲的正 弦交流电的大小,如交流电压380V或220V,指的都是有效值。 有效值是用电流的热效应来规定的。设一交流电流和一直流 电流I 流过相同的电阻R,如果在交流电的一个周期内交流电和直 流电产生的热量相等,则交流电流的有效值就等于这个直流电的 电流I。

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

哈工大数字电子技术基础习题册2010-答案6-7章

第6章 触发器 【6-1】已知由与非门构成的基本RS 触发器的直接置“0”端和直接置“1”端的输入波形如图6.1所示,试画出触发器Q 端和Q 端的波形。 R d S d Q Q 图 6.1 解: 基本RS 触发器Q 端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“0”时,Q 端和Q 端都等于“1”。d R 和d S 同时撤消,即同时变为“1”时,Q 端和Q 端的状态不定。见图6.1(b )所示,图中Q 端和Q 端的最右侧的虚线表示状态不定。 R d S d Q Q 不定状态 图6.1(b ) 题6-1答案的波形图 【6-2】触发器电路如图 6.2(a)所示,在图(b)中画出电路的输出端波形,设触发器初态为“0”。 Q d S d Q Q R (a) (b) 图6.2 解: 此题是由或非门构成的RS 触发器,工作原理与由与非门构成的基本RS 触发器一样,只不过此电路对输入触发信号是高电平有效。参照题6-1的求解方法,即可画出输出端的波形,见图6.2(c)。 d S d Q R 不定状态 图6.2(c) 【6-3】试画出图6.3所示的电路,在给定输入时钟作用下的输出波形,设触发器的初态为“0”。 “CP Y Z

CP 图 6.3 解: 见图6.3(b)所示,此电路可获得双相时钟。 Q Q CP Y Z 图6.3(b) 【6-4】分析图6.4所示电路,列出真值表,写出特性方程,说明其逻辑功能。 Q 图6.4 解: 1.真值表(CP =0时,保持;CP =1时,如下表) D n Q n Q n+1 0 0 0 0 1 0 1 0 1 1 1 1 2.特性方程Q n+1=D n 3.该电路为锁存器(时钟型D 触发器)。CP =0时,不接收 D 的数据;CP =1时,把数据锁存,但该电路有空翻。 【6-5】试画出在图6.5所示输入波形的作用下,上升和下降边沿JK 触发器的输出波形。设触发器的初态为“0”。 CP J K

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

哈工大研究生选修课系统辨识与自适应控制考点

系统辨识考点 1、辨识定义: 是在输入和输出数据的基础上,从一组给定的模型类中,确定一个与所测系统等价的模型。 2、系统辨识步骤 辨识目的及 先验知识 试验设计 输入输出数据 采集、处理 模型结构选取 与辨识 模型参数辨识 模型验证 合格? 最终模型Y N 3、递推最小二乘辨识模型 4、广义最小二乘和增广最小二乘的区别 广义最小二乘法是对系统过程模型的输入、输出和过程噪声加以变换(滤波)变成一般最小二乘法的标准格式,再用一般最小二乘法()1111???T N N N N N N y ++++=+-θθK φθ()111111T N N N N N N -++++=+K P φφP φ111T N N N N N +++=-P P K φP

对系统的参数进行估计。 增广矩阵法就是使系统模型变成符合一般最小二乘法的标准格式的,并将模型参数和噪声模型参数同时估计出来的方法。 增广矩阵法用近似估计的噪声序列代替白噪声序列。这和广义最小二乘法的不同点在于:后者噪声模型参数的估计和系统模型参数的估计是交替地进行的。 5、数据饱和的原因和解决方法 ① 参数缓慢变化(易产生数据饱和现象) 解决方法:渐消记忆最小二乘、限定记忆最小二乘 ② 参数突变但不频繁????? 6、自适应系统定义、分类 自适应控制系统是一种特殊形式的非线性控制系统。系统本身的特性(结构和参数)、环境及干扰的特性存在各种不确定性。在系统运行期间,系统自身能在线地积累与实行有效控制有关的信息,并修正系统结构的有关参数和控制作用,使系统处于所要求的(接近最优的)状态。 ?????????????????????????????????增益列表补偿法最小方差控制算法预测控制算法随机自适应控制系统极点配置控制算法控制算法参数最优化设计方法模型参考自适应控制系统李亚普诺夫稳定性理论设计方法波波夫超稳定性理论设计方法PID

哈工大2014年数电期末试题 答案资料

哈尔滨工业大学 2014 学年 秋 季学期 数字电子技术基础 试 题

8. 由TTL门组成的电路如图1-4所示,设逻辑门的输出U OH=3.6V,U OL=0.3V,电压表内阻 为20k /V。当输入ABC = 001,用万用表测出U1= ,U2= ;当输入ABC = 100,测得U1= ,U2= 。 图1-4 二、简答题:(8分) 1. 电路如图2-1(a)所示,设各触发器的初态为“0”。已知电路的输入波形如图2-1(b) 所示,试画出Q1、Q2端的波形。 CP1 2 Q0 CP0 1234567 Q1 Q2 CP1 8 (a) (b) 图2-1 2. 已知某时序逻辑电路的状态转换如图2-2(a)所示,设以Q3为最高位,Q1为最低位。将Q3Q2Q1连接到如图2-2(b)所示的ROM的地址输入端,请在ROM矩阵中实现特定的逻辑电路, 使得电路输出 07 ~ Y Y上获得顺序脉冲(在 07 ~ Y Y上依次产生一个低电平脉冲信号,每个低电平信号占一个时钟周期)。 Q3n Q2n Q1n0 W 1 W 2 W 3 W 4 W 5 W 6 W 7 W 地 址 译 码 器 Q3 时 序 逻 辑 电 路 CP Q2 Q1 BIN/OCT Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 B1 E3 E2 E1 B2 B0 "1" 74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 (a) (b) 图2-2

五、用下降沿触发的JK 触发器和门电路实现图5(a)所示的状态转换图,X 为输入信号,Z 为电路的输出信号。试求:(10分) 1. 说明当X =1时电路的逻辑功能; 2. 请画出该电路的次态卡诺图和输出函数Z 的卡诺图; 3. 写出电路的驱动方程和输出方程,并在图5(b)中画出电路图。 图5(a) 1J 1K C 1Q 1 FF 11J 1K C 1Q 0 FF 0 图5(b)

哈工大数字逻辑与数字电子试题

2003春季学期数字电子期末试题(远程) 教学站 班级 姓名 一、 按要求回答下列问题: 1. 用代数法化简 (1) )()(1C B A C B A C B A P ++?++?++= (2) P 2=AB +C B C A + 2. 对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X+Y=X+Z ,则Y=Z ;( ) (2) 若XY=XZ ,则Y=Z ;( ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;( ) 3. 函数式F=C B A ⊕⊕写成最小项之和的形式,结果应为m ∑( )。 4. 用卡诺图化简: D C A C B A D C D C A ABD ABC F +++++=

5填空: (1) 由TTL 门组成的电路如图1所示,已知它们的输入短路电流为I is =1.6mA ,高电平输入漏电流I iH =40μA 。试问:当A=B=1时,G 1的(拉,灌) 电流为 mA ;A=0时,G 1的(拉,灌) 电流为 mA 。 3 G A B 图1 (2) TTL 门电路输入端悬空时,应视为 ;(高电平,低电平,不定)此时如用万用表测量其电压,读数约为 (3.5V ,0V ,1.4V )。 (3) 集电极开路门(OC 门)在使用时须在 之间接一电阻(输出与地,输出与输入,输出与电源)。 (4)8位D/A 转换器当输入数字量只有最高位为高电平时输出电压为5V ,若只有最低位为高电平,则输出电压为 。 (5)就逐次逼近型和双积分型两种A/D 转换器而言, 抗干扰能力强; 转换速度快。 (6)半导体存储器按功能分有 和 两种。 (7)某EPROM 有8数据线,13位地址线,则其存储容量为 。 6. 由TTL 门组成的电路如图2所示,G 1和G 2为三态门,分别写出R=100Ω和R =100k Ω时输出Y 的表达式。 X G 1 图2

哈工大工程系统建模与仿真实验报告

研究生学位课 《工程系统建模与仿真》实验报告 (2017 年秋季学期) 姓名 学号 班级研一 专业机械电子 报告提交日期 哈尔滨工业大学

报告要求 1.实验报告统一用该模板撰写: (1)实验名称 (2)同组成员(必须写) (3)实验器材 (4)实验原理 (5)实验过程 (6)实验结果及分析 2.正文格式:小四号字体,行距单倍行距; 3.用A4纸单面打印;左侧装订; 4.报告需同时提交打印稿和电子文档进行存档,电子文档请发送至: xxx@https://www.wendangku.net/doc/547159911.html,。 5.此页不得删除。 评语: 教师签名: 年月日

实验一报告正文 一、 实验名称 TH -I 型智能转动惯量实验 二、 同组成员(必须写) 17S 三、 实验器材(简单列出) 1. 扭摆及几种有规则的待测转动惯量的物体 2. 转动惯量测试仪 3. 数字式电子台秤 4. 游标卡尺 四、 实验原理(简洁) 将物体在水平面内转过一角度θ后,在弹簧的恢复力矩作用下物体就开始绕垂直轴作往返扭转运动。 根据虎克定律,弹簧受扭转而产生的恢复力矩M 与所转过的角度θ成正比,即 M =-K θ (1) 式中,K 为弹簧的扭转常数,根据转动定律 M =I β 式中,I 为物体绕转轴的转动惯量,β为 角加速度,由上式得 M I β= (2) 令2I K ω= ,忽略轴承的磨擦阻力矩,由式(1)、(2)得 222d K dt I θβθωθ==-=- 上述方程表示扭摆运动具有角简谐振动的特性,角加速度与角位移成正比, 且方向相反。此方程的解为: c o s () A t θωφ=+ 式中,A 为谐振动的角振幅,φ为初相位角,ω为角速度,此谐振动周期为 22T π ω = = (3) 由式(3)可知,只要实验测得物体扭摆的摆动周期,并在I 和K 中任何一个量已知时即可计算出另一个量。 五、 实验过程(简洁) 1. 用游标卡尺测出实心塑料圆柱体的外径D 1、空心金属圆筒的内、外径D 内、 D 外、木球直径D 直、金属细杆长度L ;用数字式电子秤测出各物体质量m (各测量3次求平均值)。

哈工大电子电工学论文——绿色照明(姜三勇)

关于绿色照明电源 摘要:阐述了绿色照明的经济效益和环境效益,世界主要发达国家及中国绿色照明计划及进展,论述了国内实施绿色照明计划存在的问题及主要技术措施。 关键词:绿色照明,经济效益,理论模型,技术措施 一、“绿色照明“的提出及发展现状 “绿色照明”概念的提出源于上世纪九十年代初的美国,1991 年美国环保局 (EPA)提出了一项提高照明用电效率、节约电力、减少空气污染的行动计划 , 被形象地命名为“绿色照明计划”。其主要做法 是以政府与私营单位签订自愿协议的方式 , 在任何有成本效益的地方用高效节能照明器具替代传统低效 的照明器具 , 采用科学的照明设计和照明控方法 , 提高照明用电效率和照明质量。作为当时一项独具特色的节能行动计划 , “绿色照明”在美国取得了前所未有的成功 , 很快得到了国际社会的广泛认可 和积极响应。从此 , “绿色照明”一词即成为照明节电的代名词。“绿色照明”经历了二十多年的探 索和实践 , 现在已在全球范围内产生了巨大的经济效益和社会效益 , 被国际社会视为推动节能、保护环境的最有效措施。【1】 1996 年EPA 与美国能源部(Department ofEnergy, 简称DOE) 联合起来推广经能源之星标志认证的 产品, 认证范围也逐渐扩大到包括新建房屋、商业与公共建筑、民用空调设备、办公设备、照明系统和其他家电等。随着能源之星发展成为一个系统的建筑节能计划, 绿色照明(Green Lighting) 和所有经认证 的产品都使用新的标志———能源之星。这一标志 使消费者和商家更易于识别具有节能和环保功能的产品和服务。《能源之星建筑指南( Energy Star BuildingManual) 》指出, 如果美国所有消费者和厂商都使用经能源之星认证的产品和建筑节能升级方案, 那么美国每年用于能源消费的支出可节约2 000 亿美元。除了经济上的效益外, 能源之星的作用还体现在减少污染和保护大气等方面。能源之星自实 施以来, 已减少了640 万t 碳化合物的排放, 并节约了316 亿kWh 的电能。 目前, 欧洲住宅照明耗电90TWh , 预计 存在30 % 的节电潜能。在德国汉堡, 启动了 一项计划,“为了气候环境, 更新照明设施, 在一些公共大楼,例如中学、大学、公厕、隧 道、剧院、博物馆等更新照明设施, 如用钠 灯更换隧道的荧光灯, 在法国的连锁超市, 用T5 更换400W 的汞灯, 在意大利的连锁超 市中安装电子镇流器, 根据天然采光进行调 光控制, 在一些超市安装T5 , 照度由900lx 减至700lx 。在荷兰, 安装可调光电子镇流器, 采用天然采

哈工大 数电自主设计实验 数字时钟

实验报告 课程名称:数字电子技术基础 实验题目:设计性实验----数字时钟院系:航天学院 专业: 班级: 姓名: 学号: 哈尔滨工业大学

摘要 数字时钟最主要的功能是计时,显示具体的时间,即显示当前的时和分,它还包含一些附加的功能,时间不准时的较正、复位数字时钟等功能。数字时钟主要是时、分的显示,众所周知,一天有二十四小时,一小时有六十分钟,一分钟有六十秒,因此数字时钟的核心部件就是计数器,主要的是二十四进制和六十进制的计数器。计数器有很多种类,74LS192是一种同步十进制可逆计数器,它具有双时钟输入,并且具有清零和置数等功能,通过它可以设计出不同进制的计时器,可以用来像数字时钟一样显示时、分,通过引脚的不同的功能,可以设计出不同的附加功能,时钟校对、复位以及一些更加复杂的功能。在实验中,用555芯片连接输出为60秒的多谐振荡器用于时钟的分脉冲,用74LS192(十进制计数器)、74LS00(与非门芯片)连接成60和24进制的计数器,再通过数码管显示出来,从而构成了数字时钟。 关键字:数字时钟,数码管,计数,74LS192,555

目录 一.实验目的 (3) 二.总体设计方案或技术路线 (3) 三.实验电路图 (6) 四. 仪器设备名称、型号 (6) 五.理论分析或仿真分析结果 (8) 六.详细实验步骤及实验结果数据记录 (9) 七.实验结论 (9) 八.实验中出现的问题及解决对策 (9) 九.本次实验的收获和体会、对电路实验室的意见或建议 (9) 十.参考文献 (10)

数字时钟 一.实验目的 1、掌握不同进制计数器的设计方法,学会运用集成芯片来达到不同进制计数器的设计; 2、通过附加功能的设置来掌握计数器处于非计时状态的工作情况。 二.总体设计方案或技术路线 1、时钟信号的来源: 为了使时钟显示的时间与生活中的时间周期频率一致,利用了555芯片组成了一个多谐振荡器,可以产生一个周期为60秒的脉冲信号输入给分的个位192芯片的时钟端子。 用555定时器构成的多谐振荡器如下图所示。1R 、2R 和C 是外接定时元件,555定时器的低触发端TL (2脚)和高触发端TH (6脚)连接起来接c u ,放电管的集电极(7脚)接1R 和2R 的连接点,1R 的另一端接电源。 与用555定时器构成的单稳态触发器相比较,由555定时器构成的多谐振荡器是利用电容器的充放电来代替外加触发信号,所以电容电压c u 应该在两个阈值之间按指数规律变化。充电回路是1R 、2R 和C ,放电回路是2R 和C 。 令初始时刻c u =0V ,o u 为高电平,G3门输出低电平,放电管VT 截止,电源 CC V 通过1R 、2R 对电容C 充电,电容电压c u 按指数规律增加。当c u > 2 3 CC V 时,o u 变为低电平,G3们输出高电平,放电管VT 饱和导通,c u 通过2R 和放电管 VT 放电,c u 按指数规律降低。当c u <1 3 CC V 时,o u 变为高电平,G3门输出低电 平,放电管VT 截止,电源CC V 通过1R 、2R 对电容C 充电,c u 按指数规律增加。 当c u > 2 3CC V 时,输出o u 又变为低电平。如此周而复始地电容充电和放电,就产生了振荡,其输入、输出电压波形如下图。

哈工大2013年数电期末试题 答案资料

哈工大 2013 年 秋 季学期 数字电子技术基础试题(A ) Y '= 。 2.图1-2为CMOS 工艺数字逻辑电路,写出F 的表达式:F = 。 F o /mV u u 图1-2 图1-3 3.图1-3为4位 (逐次逼近型、双积分型、流水线型)A/D 转换器的转换示意图,转换结果为 。 4.对于一个8位D/A 转换器,若最小输出电压增量为0.01V ,当输入代码为01001101时,输出电压u o = V ,分辨率= 。 5.已知时钟脉冲频率为f cp ,欲得到频率为0.25f cp 的矩形波,哪种电路一定无法实现该功能( ) A .四进制计数器; B .四位二进制计数器; C .单稳态触发器; D .施密特触发器。 6.某EPROM 有8条数据线,10条地址线,其存储容量为 字节。 一、(8分)每空1分 1. ()A B CDC +; 2. X A XB +或X B A F X ; 3. 逐次逼近型,0101; 4. 0.77V , 8 1 21 -或0.0039; 5. D ; 6. 210

3210 图2-1 解: 3210F ABS ABS ABS ABS =???————————————————3分 2.卡诺图化简:(),,,(0,1,2,3,5,8)P A B C D m =∑,约束条件为:0ABD BCD ABC ++= 解: AB CD 00 01 1110 00011110 1 1 ΦΦ Φ 01 1 1 001 ΦΦ ——————————2分 P AD BD =+——————————————1分

3.在图2-3中,用一片74LS160和一片74LS161,配合必要的逻辑门电路,构成128进制计数器。要求:使用置数方式,且74LS160为低位芯片,74LS161为高位芯片。 Q D 74LS160RCO Q C Q B Q A ET EP D C B A CR LD CP Q D RCO Q C Q B Q A ET EP D C B A CR LD CP 74LS161 图2-3 解: Q D 74LS160RCO Q C Q B Q A ET EP D C B A CR LD CP Q D RCO Q C Q B Q A ET EP D C B A CR LD CP 74LS1611 1 1CP ——4分 三、(10分)一个保险箱有3个按键,当3个键都不按下时,保险箱关闭,不报警;当只有一个按键按下时,保险箱仍关闭,但报警;当有2个按键按下时,保险箱打开,不报警;当三个按键同时按下时,保险箱打开,但要报警。

相关文档
相关文档 最新文档