文档库 最新最全的文档下载
当前位置:文档库 › 安徽工业大学-数字逻辑试卷

安徽工业大学-数字逻辑试卷

安徽工业大学-数字逻辑试卷
安徽工业大学-数字逻辑试卷

C、D、

12、下列逻辑电路中,(

A、

C、D、

13、下列中规模通用集成电路中,()属于时序逻辑电路。

A、多路选择器74153

B、计数器74161

C、并行加法器74283

D、译码器

14、并行加法器采用先行进位(并行进位)的目的是()

A、简化电路结构

B、提高运算精度

15、EEPROM是指()

A、随机读写存储器

B、只读存储器

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

单片机毕业设计完整版

安徽工业大学继续学院《单片机原理》期末课程设计 题目:单片机计时时钟设计与制作 专业:电气工程及其自动化 班级:14 电升 姓名:夏云飞 学号:1410102003035 指导老师:贺容波 成绩: ( 2015.12 )

目录 一、绪论 (1) 1.1单片机简介 (1) 二、硬件系统设计方案 (3) 2.1 时钟电路的设计 (3) 2.2复位电路的设计 (4) 2.3 数码显示电路的设计 (5) 2.4按键电路的设计 (7) 2.5 蜂鸣器电路的设计 (8) 2.6接线图 (9) 三、软件系统设计方案 3.1 模块化设计方案 (10) 3.2 主程序的设计 (11) 3.3 LED动态显示程序的设计 (14) 3.4 计时程序模块的设计 (17) 3.5 键盘程序的设计 (19) 3.6 蜂鸣器程序的设计 (22) 3.7整个程序 (23) 四、总结 总结与致谢 (28) 参考文献 (29) 使用说明 (29)

安徽工业大学继续教育学院《单片机原理》期末课程设计——单片机计时时钟设计与制作 一绪论 1.1单片机简介 1.1.1单片机的产生 计算机的发展经历了从电子管到大规模集成电路等几个发展阶段,随着大规模集成电路技术的发展,使计算机向性能稳定可靠、微型化、廉价方向发展,从而出现了单片微型计算机。 所谓单片微型计算机,是指将组成微型计算机的基本功能部件,如中央处理器CPU、存储器ROM和RAM、输入/输出(I/O)接口电路等集成在一块集成电路芯片上的微型计算机,简称单片机。总体来讲,单片机可以用以下“表达式”来表示:单片机=CPU+ROM+RAM+I/O+功能部件 1.1.2单片机的特点 随着现代科技的发展,单片机的集成度越来越高,CPU的位数也越来越高,已能将所有主要部件都集成在一块芯片上,使其应用模式多、范围广,并具有以下特点: ①体积小,功耗低,价格便宜,重量轻,易于产品化。 ②控制功能强,运行速度快,能针对性地解决从简单到复杂的各类控制问题,满足工业控制要求,并有很强的位处理和接口逻辑操作等多种功能。 ③抗干扰能力强,适用温度范围宽。由于许多功能部件集成在芯片内部,受外界影响小,故可靠性高。 ④虽然单片机内存储器的容量不可能很大,但存储器和I/O接口都易于扩展。 ⑤可以方便的实现多机和分布式控制。 1.1.3单片机的应用 单片机的应用具有面广量大的特点,目前它广泛的应用于国民经济各个领域,对技术改造和产品的更新起着重要作用。主要表现在以下几个方面: ①单片机在智能化仪器、仪表中的应用:由于单片机有计算机的功能,它不仅能完成测量,还既有数据处理、温度控制等功能,易于实现仪器、仪表的数字化和智能化。 ②单片机在实时控制中的应用:单片机可以用于各种不太复杂的实时控制系统中, 第1页

计算接科学与技术课程

我是这个学校大四的学生,专升本上来以后直接跟大三的一块上课,课是一样的。大三第一学期的课有:计算机网络、面向对象技术与、汇编程序设计与微机接口、自动控制原理、数字信号处理、操作系统。 第二学期的课有:语言、单片机原理与应用、网页设计与网站开发、毛泽东思想和中国特色社会主义理论体系概论、软件工程、局域网络技术与组网工程、及应用。 大四第一学期的课有:企业管理概论、技术及应用、嵌入式系统原理及应用课程设计、嵌入式系统开发实验、计算机控制技术、人工智能、大学生就业指导 大四第二学期作毕业设计。 主干学科:计算机科学与技术 主要课程:电路原理、模拟电子技术、数字逻辑、数字分析、计算机原理、微型计算机技术、计算机系统结构、计算机网络、高级语言、汇编语言、数据结构、操作系统、编译原理、系统分析与控制、信号处理原理、通信原理概论等 主要实践性教学环节:包括电子工艺实习、硬件部件设计及调试、计算机基础训练、课程设计、计算机工程实践、生产实习、毕业设计(论文)。 主要专业实验:编程与上机调试、电子线路、数字逻辑、微型计算机接口技术、计算机组成等 修业年限:四年 授予学位:工学或理学学士 开设院校 清华大学北京大学浙江大学 复旦大学南京大学华中科技大学 上海交通大学武汉大学吉林大学 中山大学四川大学哈尔滨工业大学 西安交通大学山东大学天津大学 中南大学南开大学东南大学 厦门大学北京航空航天大学同济大学 华南理工大学中国人民大学大连理工大学 西北工业大学东北大学重庆大学 中国农业大学兰州大学华东师范大学 中国矿业大学华东理工大学北京理工大学 北京科技大学苏州大学石油大学

中国地质大学武汉理工大学湖南大学 郑州大学南京航空航天大学南京理工大学 西安电子科技大学电子科技大学南京农业大学西北大学南京师范大学上海大学 暨南大学西北农林科技大学西南交通大学 华中农业大学扬州大学华中师范大学 东北师范大学中国海洋大学华南师范大学 山西大学华南农业大学湖南师范大学 南京工业大学北京工业大学北京化工大学 福建农林大学河海大学云南大学 北京交通大学陕西师范大学山东农业大学 江苏大学哈尔滨工程大学西南师范大学 燕山大学青岛大学福州大学 东华大学上海财经大学河北大学 合肥工业大学南昌大学湘潭大学 昆明理工大学浙江工业大学北京邮电大学 河南大学山东师范大学广西大学 广东工业大学安徽大学北京林业大学 河北师范大学江南大学成都理工大学 福建师范大学华北电力大学黑龙江大学 东北林业大学河北工业大学首都师范大学 山东科技大学湖北大学新疆大学 四川师范大学南京林业大学内蒙古大学 西南石油学院曲阜师范大学长江大学 安徽师范大学西北师范大学西南农业大学 汕头大学中北大学长安大学 南通大学河南师范大学西安理工大学 湖南科技大学贵州大学武汉科技大学 辽宁大学江西师范大学河北农业大学 东北农业大学济南大学东北财经大学 深圳大学山东理工大学安徽农业大学 广州大学哈尔滨师范大学西安建筑科技大学沈阳农业大学中央民族大学西南科技大学 上海理工大学西南财经大学长沙理工大学 徐州师范大学青岛科技大学四川农业大学 天津师范大学三峡大学湖南农业大学 沈阳工业大学兰州理工大学哈尔滨理工大学聊城大学华侨大学河南农业大学 大庆石油学院浙江工商大学南华大学 佳木斯大学吉林农业大学辽宁师范大学 山西农业大学烟台大学长春理工大学 中国传媒大学南京信息工程大学南京财经大学北华大学北京语言大学宁夏大学 重庆工商大学大连海事大学山西师范大学

数字逻辑课程设计报告

课程设计基本要求 1. 学生可以完成以下题目之一,经指导教师检查、验收、提交设计报告、评定成绩。 2. 学生也可以自拟题目进行设计,但需经指导教师审核同意。 3. 设计方法由学生根据自己情况决定,如采用原理图设计、HDL语言设计等。 4、设计报告应包括设计思路或过程、原理图或HDL文本、实验结果(可选)、设计讨论或心得体会。 一、简要说明 数字钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。计时有24h 和12h两种。当接通电源或数字钟走时出现误差,都需要对数字钟作手动时、分、秒时间校正。 二、任务和要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。 整点报时。 三、可选用的器件 EDA-V实验箱 // 数字逻辑课程设计实验报告 此设计主要以数字电路的基础理论为指导,采用中、小规模的集成器件CD4060、74LS161、74LS160、和LS248设计而成。该电路采用模块设计、分模块安装、调试等方法设计而成,所选用的器件主要是中小规模的集成芯片,本产品由于采用多片74LS系列的集成芯片组成,生产成本低等原因,使这个产品设计既容易实现,又不会浪费太多成本。 产品由石英晶体振荡器产生频率可以调节的时钟脉冲信号,经十五分频得到秒信号脉冲作为数字钟计数器的时钟信号,当到达整点前一秒时,电路通过一个蜂鸣器准时报时。这个电路还可以通过手动,即过拨动开关来选择是否进行时间较准。 总电路初步设计 1.1 设计内容以及要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。整点报时。 主要参考元器件: CD4060,74LS161,74LS248,74LS74,7400与非门

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

信息工程专业介绍

信息工程专业介绍: 1.专业简介:信息技术是衡量一个国家现代化水平的重要标志,我国把信息技术列为21世纪发展战略计划的首位。信息工程是一门研究信息的产生、获取、传输、存储和显示技术的学科。信息工程专业培养在信息工程,重点是光电信息工程领域具有宽厚的理论基础、扎实的专业知识和熟练的实验技能的高级信息工程科技人才。毕业生将在光电信号的采集、传输、处理、存储和显示的科学研究、工程设计、技术开发和企业管理中展示才华。 2.主修课程:光电信息物理基础、光电子学、信号与系统、通信原理、图像处理、传感器原理技术、光电检测技术、自动控制理论、光纤通信、计算机通讯网络、工程光学、微机原理、计算机软件技术基础、计算机网络技术、计算机辅助设计、数字与模拟电子技术基础、电路基础以及有关数理基础和工程基础方面的课程。 3.毕业去向:本专业历年输送了大量优秀毕业生攻读硕士、博士学位。除此之外,主要为科研单位、高等院校、电信部门、信息产业部门、企事业单位及有关公司录用,从事光电信息工程与技术、通信工程与技术、光电信号检测、处理及控制技术等领域的研究、设计、开发应用和管理等工作。 电子信息工程专业 业务培养目标: 业务培养目标:本专业培养具备电子技术和信息系统的基础知识,能从事各类电子设备和信息系统的研究、设计、制造、应用和开发的高等工程技术人才。 业务培养要求:本专业是一个电子和信息工程方面的较宽口径专业。本专业学生主要学习信号的获取与处理、电厂设备信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的基本能力。 电子信息工程已经涵盖很广的范围。电话交换局里怎样处理各种电话信号,手机是怎样传递我们的声音甚至图象,我们周围的网络怎么样传递数据,甚至信息化时代军队的信息传递中如何保密等知识。我们通过一些基础知识的学习认识这些东西,并能够进行维护和更先进的技术和新产品的开发。 你首先要有扎实的数学知识,要学习许多电路知识,电子技术,信号与系统,计算机控制原理,信号与系统,通信原理等基本课程。自己还要动手设计、连接一些电路以及结合计算机的实验。譬如自己连接传感器的电路,用计算机自己设置小的通信系统,还会参观一些大的公司的电子和信息处理设备,对整体进行了解,理解手机信号、有线电视是如何传输的等,并能有机会在老师指导下参与大的工程的设计。 随着计算机和互联网日益深入到社会生活的多个层面,社会需求量相当大。现在是一个热门专业。 毕业后干什么——从事电子设备和信息系统的设计、应用开发以及技术管理等 随着社会信息化的深入,各行业大都需要本专业人才,而且薪金很高。可成为: 电子工程师——设计开发一些电子,通信器件,起薪一般2000元——6000元/月; 项目主管—策划一些大的系统,经验、知识要求很高,起薪一般4000元/月以上; 还可以继续进修成为教师,进行科研项目等 专业是个好专业:适用面比较宽,和计算机、通信、电子都有交叉;但是这行偏电,因此动手能力很重要;另外,最好能是本科,现在专科找工作太难了!当然大虾除外 本专业对数学和英语要求不低,学起来比较郁闷要拿高薪,英语是必需的; 吃技术这碗饭,动手能力和数学是基本功当然,也不要求你成为数学家,只要能看懂公式就可以了,比如微积分和概率统计公式,至少知道是在说些什么而线性代数要求就高一些,因为任何书在讲一个算法时,最后都会把算法化为矩阵计算(这样就能编程实现了,而现代的电子工程相当一部分工作都是编程) 对于动手能力,低年级最好能焊接装配一些小电路,加强对模拟、数字、高频电路(这三门可是电子线路的核心)的感性认识;工具吗就找最便宜的吧!电烙铁、万用表是必需的,如果有钱可以买个二手示波器电路图吗,无线电杂志上经常刊登,无线电爱好者的入门书对实际操作很有好处

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

网上购物系统-毕业设计

? 安徽工业大学 毕业设计(论文)说明书 专 业软件工程 班级122班 姓 名周庆梅 学号129074211 指导教师许文方 二〇一六年六月十六日

安徽工业大学 毕业设计(论文)任务书 课题名称网上购物系统 学 院计算机科学与技术学院 专业班级软件工程122班 姓名周庆梅 学 号129074211 毕业设计(论文)的主要内容: 本系统利用JavaEE开发基于B/S结构的网上购物系统,实现管理员对购物商品的实时更新和用户购物信息的提交。 (1)需求分析: 将对购物用户购物流程和卖家对商品的上架流程进行分析,所需的功能模块有哪些。 (2)总体设计:将系统划分成两大功能模块:前台和后台。前台功能模块有:注册、激活、登录、搜索、修改密码等模块。后台功能模块有:管理员登录、分类管理、商品管理、订单管理。每一个功能模块实现的具体功能。 (3)详细设计:整体设计思想,功能模块图,实体对应的属性E-R图设计,数据库表设计。 (4)测试:采用了黑盒测试和白盒测试。 指导教师签 字

摘要 随着现代现代信息网络技术的不断发展,互联网市场正在以无法想象的速度和空前的规模迅猛发展,电子商务成为人们关注的焦点,各企业认识到建立企业级的电子商务平台不仅可以拓宽销售渠道,还能提升形象和品牌效应,对企业的发展有着重要的战略意义。在此背景下,网络购物作为新兴的商业模式逐步浮出水面,并在发展过程中备受瞩目。 网络购物巨大的市场规模和美好的发展前景不容小视。但是网络购物的繁荣需要时间,需要业界的投入,需要网络的发展。相信中国电子商务在一段时间后会达到国际化水平。 网上购物系统,是在网络上建立一个虚拟的购物商场,使您的购物过程变得轻松、快捷、方便,很适合现代人快节奏的生活;同时又有效的控制“商场”运营的成本,开辟了一个新的销售渠道。 本系统利用现代化的电子及网络技术,为消费者和企业搭建一个良好的互动平台。让用户享受快捷的购物方式,为企业提供不同于传统销售的崭新的销售模式。该购物系统是一个中小型的电子商务系统,可以为各类用户提供方便的在线购物环境。用户可以在系统中实现注册、登录、修改个人信息、分类查询商品信息、购物、管理购物车、结账等功能。管理员可以通过后台管理模块实现对商品、物流、订单等后台管理功能。本系统采用servlet和jsp技术,以MySQL为系统数据库开发,整个系统操作简便、界面友好、灵活实用。 关键词:电子商务;网上购物;jsp;servlet

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

安徽工业大学本科毕业设计(U论文)撰写规范

附件: 安徽工业大学本科毕业设计(论文)撰写规范 为使学生掌握撰写技术报告和科研论文的基本方法,统一毕业设计(论文)的规格要求,制定本规范。 一、毕业设计(论文)的基本结构 一份完整的毕业设计(论文)应包括以下几个部分: A.标题; B.中文摘要; C. 关键词; D. 英文摘要; E. 关键词;F:目录;G. 正文;H. 结论;I. 参考文献;J. 致谢;K. 附录;L. 有关图纸(大于3#图幅时单独装订)。 二、毕业设计(论文)的撰写规则和要求 1、标题 标题应简短、明确,具有概括性。标题字数要适当,不宜超过20字。如确因表达需要而字数过多又无法删减的,可以分成主标题和副标题。 2、摘要和关键词 摘要以浓缩的形式概括研究课题的内容,主要由三部分组成,即:研究的问题、研究的过程和方法、研究的结果等,不含图表,不加注释,具有独立性和完整性。中文摘要300字左右,并翻译成英文。“摘要”字样位置居中。 关键词是反映毕业设计(论文)主题内容的名词,是供检索----------专业最好文档,专业为你服务,急你所急,供你所需-------------

使用的。关键词应为通用术语或技术词汇,不得自造关键词,尽量从《汉语主题词表》中选用。关键词一般为3-5个,按词条外延层次(学科目录分类),由高至低顺序排列。关键词排在摘要正文部分下方。 3、目录 目录按三级标题编写,即1……、1.1……、1.1.1……,并标注页码。目录中内容的顺序一般为:摘要、绪论、正文章节、结论、参考文献、致谢、附录等;目录中的标题要求层次清晰且与正文中的标题和页码一致。 4、正文 毕业设计(论文)正文包括绪论(或前言)、正文主体、结论等。绪论(或前言)应说明本课题的来源、目的、意义、研究范围及要达到的技术要求;说明本课题的指导思想、应解决的主要问题等。 正文主体是对研究工作的详细表述,其主要内容包括:国内外文献综述(本课题国内外发展概况及存在的问题);研究工作的基本前提、假设和条件;技术、经济分析;基本概念和理论基础;模型的建立;方案的确定;设计与计算的主要方法和内容;实验方法、内容及其分析;理论论证、应用结果;对研究结果的讨论等。根据毕业设计(论文)课题性质的不同,专业内涵不同,各有侧重,一般仅涉及上述部分内容。正文中使用的计量单位统一 ----------专业最好文档,专业为你服务,急你所急,供你所需-------------

数字钟数字逻辑

数字钟的设计 一、数字钟的功能描述 (1)、计时和显示功能 采用24小时制,以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。(2)、校时功能。 当数字钟走时有偏差时,应能手动校时。 二、数字钟的设计思路 根据功能要求,整个数字钟分为计时和校时两个部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号,分钟计时电路接收1/60Hz时钟信号,进行60进制计数,计满后秒值归0,并产生1/3600Hz时钟信号,,小时计时电路接收1/3600Hz 时钟信号,进行24小时计数,计满后小时、分、秒均归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字在计时/校时/校分/校秒两种状态间转换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转发达到指定的数值。 总体原理电路如下图-1所示: 图-1数字钟的原理电路 三、采用原理图和HDL混合设计方式实现数字钟 (1)小时计时电路 小时计时电路需要24进制计数,其电路下图-2所示:

图-2 小时计时电路 该电路用两片74160(一位十进制加法计数器)采用同步连接构成24进制计数器,通过译码电路识别暂态“24”,输出低电平使计数器清零。整个计数循环为00→01→02→……→23→00→……,共有24个稳定状态。计数值采用BCD码形式,Q7-Q4表示小时的十位,Q3-Q0表示小时的个位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,而在校时状态时接收校时脉冲用于控制小时值的翻转。小时计时模块的输入输出端口如下图-3所示: 图-3 小时计时电路的端口特征 (2)分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路下图-4所示: 图-4 分钟计时电路 该电路用两片74160(一位十进制加法计数器)采用同步连接构成60进制计数器,通过译码电路识别暂态“59”,输出低电平使计数器清零。整个计数循环为00→01→02→……→58→59→00→……,共有60个稳定状态。计数值采用BCD 码形式,Q7-Q4表示分钟或秒的十位,Q3-Q0表示分钟或秒的个位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的计数动作(秒计满触发分钟的计数,分钟计满触发小时的计数)。分钟、秒计时模块的输入输出端口如下图-5所示: 图-5 分钟/秒计时电路的端口特征 (1)计时/校时的切换由模块Count_control实现,其端口特征如下图-6所示:

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

《数字电路与数字逻辑》期末考试试卷A卷(1)

广西科技大学2015—2016学年第 1 学期课程考核 试题 考核课程数字电路与数字逻辑( A 卷)考核班级软件141、142 学生数 80 印数 85 考核方式闭卷考核时间 120 分钟 1、 选择题(每题2分,共20分) 1. 数字9的BCD码是1001,那么它的余三码是( A ) A 1100 B 1001 C 0110 D 1111 2. 若输入变量A、B全为1时,输出F为1,其余情况下,F为0,那么F与AB的关系是( B ) A B C D 3. 二进制数1100转换成十六进制数是( C ) A 12H B 0AH C 0BH D 0CH 4. 逻辑表达式( C ) A B C D 5. 组合逻辑电路通常由( A )组合而成。 A 门电路 B 计数器 C 触发器 D 寄存 器 6. 时序逻辑电路中一定包含( C ) A 门电路 B 计数器 C 触发器 D 寄 存器 7. 有2个与非门构成的基本RS触发器,如果要触发器输出保持不变,则( ) A B C D 8. T触发器,如果T端为高电平,那么CP脉冲来的时候,输出Q应( ) A 为0 B 为1 C 保持不变 D 翻

转 9. 时序逻辑电路输出状态的改变( ) A 仅与该时刻输入信号的状态有关 B 仅与时序电路的原状 态有关 C 与时序电路的原状态无关 D 与A、B两项皆有关 10. 以下( B )不是解决组合逻辑电路中竞争冒险现象的方法。 A 增加冗余项 B 使用卡诺图化简 C 增加选通脉冲 D 改变设计方法 2、 使用卡诺图法化简以下逻辑函数(每题5分,共20分) 1. 2. 3. 4. 3、 问答题(每题10分,共40分) 1. 分析下图所示的组合逻辑电路。 1) 写出逻辑表达式。(4分) 2) 画出真值表。(3分) 3) 说明其逻辑功能。(3分) 2. 现有一个T触发器,请使用必要的门电路将其转换成一个JK触发器。 3. 现有5个D触发器连接如下,RST是清零信号,清零之后 5个触

相关文档
相关文档 最新文档