文档库 最新最全的文档下载
当前位置:文档库 › 广工基于Libreo的EDA实验报告(4-8)

广工基于Libreo的EDA实验报告(4-8)

广工基于Libreo的EDA实验报告(4-8)
广工基于Libreo的EDA实验报告(4-8)

___计算机__学院计科专业2班________组、学号3115004949

姓名朱远鹏协作者______________教师评定_________________

实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________

1、熟悉EDA工具的使用;仿真基本门电路。

2、仿真组合逻辑电路。

3、仿真时序逻辑电路。

4、基本门电路、组合电路和时序电路的程序烧录及验证。

5、数字逻辑综合设计仿真及验证。

实验报告

1、基本门电路

一、实验目的

1、了解基于Verilog的基本门电路的设计及其验证。

2、熟悉利用EDA工具进行设计及仿真的流程。

3、学习针对实际门电路芯片74HC00、74HC02、74HC0

4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。

二、实验环境

Libero仿真软件。

三、实验内容

1、掌握Libero软件的使用方法。

2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。

3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0

4、74HC08、74HC32、74HC86相应的设计、综合及仿真。

4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个

....)的综合结果,以及相应的仿真结果。

四、实验结果和数据处理

1、所有

..模块及测试平台代码清单

//74HC00代码-与非

//74HC00.v

module HC00(A,B,Y);

input [4:1] A,B;

output [4:1] Y;

assign Y = ~(A&B);

endmodule

//74HC00测试平台代码

//test_00.v

`timescale 1ns/1ns

module testbench();

reg [4:1] a,b;

wire [4:1] y;

HC00 ul(a,b,y);

initial

begin

a=4'b0000; b=4'b0001;

#10 b=b<<1;

#10 b=b<<1;

#10 b=b<<1;

a=4'b1111; b=4'b0001;

#10 b=b<<1;

#10 b=b<<1;

#10 b=b<<1;

end

endmodule

//74HC02代码-或非

//74HC02.v

module HC02(A,B,Y);

input [3:0] A,B;

output [3:0] Y;

assign Y = ~(A|B);

endmodule

//74HC02测试平台代码

// test_02.v

`timescale 1ns/1ns

module test_02;

reg [3:0] a,b,c;

wire [3:0] y;

HC02 u(a, b, y);

initial

begin

a = 4'b1111;c = 4'b0001;

b = ~c;

c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;

a = 4'b0000;c = 4'b0001;

b = ~c;

c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;

end

endmodule

//74HC04代码-非

// 74HC04.v

module HC04(A, Y);

input [3:0] A;

output [3:0]Y;

assign Y = ~A; endmodule

//74HC04测试平台代码

// test_04.v

`timescale 1ns/1ns

module test_04;

reg [3:0] a;

wire [3:0] y;

HC04 u (a, y);

initial

begin

a = 4'b0001;

#10 a = a<<1;

#10 a = a<<1;

#10 a = a<<1;

end

endmodule

//74HC08代码-与

// 74HC08.v

module HC08(A, B, Y);

input [3:0] A, B;

output [3:0]Y;

assign Y = A&B; endmodule

//74HC08测试平台代码

// test_08.v

`timescale 1ns/1ns module test_08; reg [3:0] a,b;

wire [3:0] y;

HC00 u(a, b, y);

initial

begin

a = 4'b0000;

b = 4'b0001;

#10 b = b<<1;

#10 b = b<<1;

#10 b = b<<1;

a = 4'b1111;

b = 4'b0001;

#10 b = b<<1;

#10 b = b<<1;

#10 b = b<<1;

end

endmodule

//74HC32代码-或

//74HC32.v

module HC32(A, B, Y);

input [3:0] A, B;

output [3:0]Y;

assign Y = A|B; endmodule

//74HC32测试平台代码

// test_32.v

`timescale 1ns/1ns

module test_32;

reg [3:0] a,b,c;

wire [3:0] y;

HC02 u(a, b, y);

initial

begin

a = 4'b1111;c = 4'b0001;

b = ~c;

c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;

a = 4'b0000;c = 4'b0001;

b = ~c;

c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;c = c<<1;

#10 b = ~c;

end

endmodule

//74HC86代码-异或

//74HC86.v

module HC86(A, B, Y);

input [3:0] A, B;

output [3:0]Y;

assign Y = A^B;

endmodule

//74HC86测试平台代码

// test_86.v

`timescale 1ns/1ns

module test_86;

reg [3:0] a, b;

wire [3:0] y;

HC86 u (a, b, y);

initial

begin

a = 4'b0000;

b = 4'b0001;

#10 b = b<<1;

#10 b = b<<1;

#10 b = b<<1;

#10 a = 4'b1111;b = 4'b0001;

#10 b = b<<1;

#10 b = b<<1;

#10 b = b<<1;

end

endmodule

实验数据:74HC00

2、第一次仿真结果

3、综合结果

4、第二次仿真结果(综合后)。回答输出信号是否有延迟,延迟时间约为多少?

输出信号有延时,延时约为300ps

5、第三次仿真结果(布局布线后)。回答输出信号是否有延迟,延迟时间约为多少?分析是否有出现竞争冒险。

延时约为3200ps,存在竞争冒险

2、组合逻辑电路

一、实验目的

1、了解基于Verilog的组合逻辑电路的设计及其验证。

2、熟悉利用EDA工具进行设计及仿真的流程。

3、学习针对实际组合逻辑电路芯片74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511进行VerilogHDL设计的方法。

二、实验环境

Libero仿真软件。

三、实验内容

1、掌握Libero软件的使用方法。

2、进行针对74系列基本组合逻辑电路的设计,并完成相应的仿真实验。

3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511相应的设计、综合及仿真。

4、74HC85测试平台的测试数据要求:进行比较的A、B两数,分别为本人学号的末两位,如“89”,则A数为“1000”,B数为“1001”。若两数相等,需考虑级联输入(级联输入的各种取值情况均需包括);若两数不等,则需增加一对取值情况,验证

A、B相等时的比较结果。

5、74HC4511设计成扩展型的,即能显示数字0~9、字母a~f。

6、提交针对74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511(任.选一个

...)的综合结果,以及相应的仿真结果。

四、实验结果和数据处理

1、所有模块及测试平台代码清单

//74HC148代码

module HC148(EI,I,A,GS,EO);

input EI;

input [7:0]I;

output [2:0]A;

output GS,EO;

reg [2:0]A;

reg GS,EO;

integer j;

always @ (EI,I)

begin

if(EI)

begin

{A,GS,EO}=5'b11111;

end

else if(I==8'b11111111)

begin

{A,GS,EO}=5'b11110;

end

else

for(j=0;j<8;j=j+1)

begin

if(~I[j])

begin

A=~j;

GS=0;

EO=1;

end

end

end

endmodule

//74HC148测试平台代码

`timescale 1ns/1ns

module test_HC148;

reg ei;

reg [7:0]i;

wire [2:0]a;

wire gs,eo;

HC148 u1(ei,i,a,gs,eo); initial

begin

ei=1;

#20 ei=0;i=8'b11111111;

#20 i=8'b11111110;

#20 i=8'b11111101;

#20 i=8'b11111011;

#20 i=8'b11110111;

#20 i=8'b11101111;

#20 i=8'b11011111;

#20 i=8'b10111111;

#20 i=8'b01111111;

end endmodule

//74HC138代码

module HC138(E1,E2,E3,A,Y); input E1,E2,E3;

input [2:0]A;

output [7:0]Y;

reg [7:0]Y;

integer I;

always@(E1,E2,E3,A)

begin

if(E1==1||E2==1||E3==0)

Y=8'b11111111;

else

Y=1'b1<

end

endmodule

//74HC138测试平台代码module test_HC138;

reg e1,e2,e3;

reg [2:0]a;

wire [7:0]y;

HC138 u2(e1,e2,e3,a,y);

initial

begin

a=0;

repeat(20)

#20 a=$random;

end

initial

begin

e1=1;

#10 e2=1;

#10 e3=0;

#10 e1=0;

#10 e2=0;

#10 e3=1;

end

endmodule

//74HC153代码

module HC153(S,I1,I2,E1,E2,Y1,Y2); input [1:0]S;

input [3:0]I1;

input [3:0]I2;

input E1,E2;

output Y1,Y2;

reg Y1,Y2;

always@(S,I1,I2,E1,E2)

begin

if(E1) Y1=0;

else Y1=I1[S];

if(E2) Y2=0;

else Y2=I2[S];

end endmodule

//74HC153测试平台代码

module test_HC153;

reg [1:0]s;

reg[3:0]i1;

reg[3:0]i2;

reg e1,e2;

wire y1,y2;

HC153 u3(s,i1,i2,e1,e2,y1,y2);

initial

begin

e1=1;

e2=1;

#15 e1=0;e2=0;

end

initial

begin

s=0;i1=0;i2=0;

#10 i1=4'b1001;i2=4'b1100;

#10 s=1;

#10 s=2;

#10 s=3;

end

endmodule

//74HC85代码module HC85(A,B,I,Q); input [3:0]A,B;

input [2:0]I;

output [2:0]Q;

reg [2:0]Q;

always@(A,B)

begin

if(A>B)

Q=3'b100;

else if(A

Q=3'b001;

else if(I[1]==1)

Q=3'b010;

else if(I==3'b101) Q=3'b000;

else if(I==0)

Q=3'b101;

else

Q=I;

end

endmodule

//74HC85测试平台代码module test_HC85;

reg [3:0]a,b;

reg [2:0]i;

wire [2:0]q;

HC85 u4(a,b,i,q);

initial

begin

i=0;

repeat(4)

#10 i=$random;

end

initial

begin

a=3;b=1;

#10 a=1;b=4;

#10 a=0;b=0;

#10 a=6;b=1;

#10 a=5;b=9;

end

endmodule

//74HC283代码

module HC283(CIN,A,B,COUT,S); input CIN;

input [3:0]A,B;

output COUT;

output [3:0]S;

reg COUT;

reg [3:0]S;

always@(CIN,A,B)

begin

{COUT,S}=CIN+A+B;

end

endmodule

//74HC283测试平台代码module test_HC283;

reg cin;

reg [3:0]a,b;

wire cout;

wire [3:0]s;

HC283 u5(cin,a,b,cout,s);

initial

begin

cin=0;

repeat(20)

#15 cin=$random;

end

initial

begin

a=0;

repeat(20)

#10 a=$random;

end

initial

begin

b=0;

repeat(20)

#10 b=$random;

end

endmodule

//74HC4511代码

module HC4511(LE,BI,LT,A,Y);

input LE,BI,LT;

input [3:0]A;

output [6:0]Y;

reg [6:0]Y;

always@(LE,BI,LT,A)

begin

if(!LT)

Y=7'b1111111;

else if(!BI)

Y=0;

else if(LE)

Y=Y;

else

case (A)

4'd0:Y=7'b1111110;//数字按abcdefg顺序4'd1:Y=7'b0110000;

4'd2:Y=7'b1101101;

4'd3:Y=7'b1111001;

4'd4:Y=7'b0110011;

4'd5:Y=7'b1011011;

4'd6:Y=7'b1011111;

4'd7:Y=7'b1110000;

4'd8:Y=7'b1111111;

4'd9:Y=7'b1111011;

4'd10:Y=7'b1110111;

4'd11:Y=7'b0011111;

4'd12:Y=7'b1001110;

4'd13:Y=7'b0111101;

4'd14:Y=7'b1001111;

4'd15:Y=7'b1000111;

default:;

sendcase

end

endmodule

//74HC4511测试平台代码

module test_HC4511;

reg le,bi,lt;

reg [3:0]a;

wire [6:0]y;

HC4511 u6(le,bi,lt,a,y);

initial

begin

lt=0;

#10 lt=1; bi=0;

#10 bi=1; le=1;

#10 le=0;

end

initial

begin

a=0;

repeat(30)

#20 a=$random;

end

endmodule

2、第一次仿真结果(74HC148)

3、综合结果

4、第二次仿真结果(综合后)。回答输出信号是否有延迟,延迟时间约为多少?

有延迟,延迟时间约为300秒

5、第三次仿真结果(布局布线后)。回答输出信号是否有延迟,延迟时间约为多少?分析是否有出现竞争冒险。

有延迟,延迟时间约为4000ps,有竞争冒险

3、时序逻辑电路

一、实验目的

1、了解基于Verilog的时序逻辑电路的设计及其验证。

2、熟悉利用EDA工具进行设计及仿真的流程。

3、学习针对实际时序逻辑电路芯片74HC7

4、74HC112、74HC194、74HC161进行VerilogHDL设计的方法。

二、实验环境

Libero仿真软件。

三、实验内容

1、熟练掌握Libero软件的使用方法。

2、进行针对74系列时序逻辑电路的设计,并完成相应的仿真实验。

3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC7

4、74HC112、74HC161、74HC194相应的设计、综合及仿真。

4、提交针对74HC74、74HC112、74HC161、74HC194(任选一个

....)的综合结果,以及相应的仿真结果。

四、实验结果和数据处理

1、所有模块及测试平台代码清单

//74HC74代码

module HC74(S1,S2,R1,R2,CLK1,CLK2,D1,D2,Q1,QF1,Q2,QF2);

input S1,S2,R1,R2,CLK1,CLK2,D1,D2;

output Q1,QF1,Q2,QF2;

reg Q1,QF1,Q2,QF2;

always@(posedge CLK1)

begin

if(!S1&&R1)

begin

Q1<=1;QF1<=0;

end

else if(S1&&!R1)

begin

Q1<=0;QF1<=1;

end

else if(!S1&&!R1)

begin

Q1<=1;QF1<=1;

end

else

begin

Q1<=D1;QF1<=!D1;

end

end

always@(posedge CLK2)

begin

if(!S2&&R2)

begin

Q2<=1;QF2<=0;

end

else if(S1&&!R1)

begin

Q2<=0;QF2<=1;

end

else if(!S1&&!R1)

begin

Q2<=1;QF2<=1;

end

else

begin

Q2<=D2;QF2<=!D2;

end

end

endmodule

//74HC74测试平台代码

`timescale 1ns/1ns module test_HC74;

reg s1,s2,r1,r2,clk1,clk2,d1,d2;

wire q1,qf1,q2,qf2;

HC74 u1(s1,s2,r1,r2,clk1,clk2,d1,d2,q1,qf1,q2,qf2); initial

begin

clk1=0;

#400 $finish;

end

always

#10 clk1=~clk1;

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称:《Java程序设计实验》 班级:信息1202 姓名:方逸梅 学号: 31 指导老师:费玉莲

《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 。 (四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容和要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1.下载、安装并设置Java SDK 软件包。 2.熟悉Eclipse 编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application和Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { "Hello java! 欢迎使用!"); } }

广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________ 实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__ 1、熟悉SmartDesign工具的使用 2、综合实验的设计、仿真、程序烧录及验证

实验报告 一、实验目的 1、了解基于Verilog的组合逻辑电路的设计及其验证。 2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。 3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。 4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。 二、实验环境 1、Libero仿真软件。 2、DIGILOGIC-2011数字逻辑及系统实验箱。 3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。 三、实验内容 1、跑马灯设计 设计要求: 共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 (1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 (2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 (3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。 (4)模式4:自定义。 2、四位数码管扫描显示电路的设计 设计要求: 共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 (2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

大学物理实验报告书(共6篇)

篇一:大学物理实验报告1 图片已关闭显示,点此查看 学生实验报告 学院:软件与通信工程学院课程名称:大学物理实验专业班级:通信工程111班姓名:陈益迪学号:0113489 学生实验报告 图片已关闭显示,点此查看 一、实验综述 1、实验目的及要求 1.了解游标卡尺、螺旋测微器的构造,掌握它们的原理,正确读数和使用方法。 2.学会直接测量、间接测量的不确定度的计算与数据处理。 3.学会物理天平的使用。 4.掌握测定固体密度的方法。 2 、实验仪器、设备或软件 1 50分度游标卡尺准确度=0.02mm 最大误差限△仪=±0.02mm 2 螺旋测微器准确度=0.01mm 最大误差△仪=±0.005mm 修正值=0.018mm 3 物理天平 tw-0.5 t天平感度0.02g 最大称量 500g △仪=±0.02g 估读到 0.01g 二、实验过程(实验步骤、记录、数据、分析) 1、实验内容与步骤 1、用游标卡尺测量圆环体的内外径直径和高各6次; 2、用螺旋测微器测钢线的直径7次; 3、用液体静力称衡法测石蜡的密度; 2、实验数据记录表 (1)测圆环体体积 图片已关闭显示,点此查看 (2)测钢丝直径 仪器名称:螺旋测微器(千分尺)准确度=0.01mm估读到0.001mm 图片已关闭显示,点此查看 图片已关闭显示,点此查看 测石蜡的密度 仪器名称:物理天平tw—0.5天平感量: 0.02 g 最大称量500 g 3、数据处理、分析 (1)、计算圆环体的体积 1直接量外径d的a类不确定度sd ,sd=○ sd=0.0161mm=0.02mm 2直接量外径d的b类不确定度u○ d. ud,= ud=0.0155mm=0.02mm 3直接量外径d的合成不确定度σσ○ σd=0.0223mm=0.2mm 4直接量外径d科学测量结果○ d=(21.19±0.02)mm d = 5直接量内径d的a类不确定度s○

2003年广工研究生入学物理化学试题

广东工业大学 2003年研究生入学物理化学试题 考试科目:物理化学科目编号:423 招生专业:应用化学、环境工程 注:考生必须在答题纸上答题(含填充题、选择题),答完后连同本试题一并交回。 一、单项选择题(30分) 1、一定量的理想气体由同一始态出发,分别经恒温可逆膨胀和绝热可逆膨胀到相同的终态压力为P时,终态体积有()。 A V恒温=V绝热; B V恒温V绝热; D 无法判定。 2、对于焓H的描述下列哪点是不确切的()。 A 焓H是状态函数; B 在无非体积功的封闭体系内的恒压过程?H=Q P; C 焓的改变值?H的符号不能作为过程自发方向的判据; D 在U、H、A、G几个函数中H的绝对值最大。 3、下列哪一个过程不能用?G作为过程自发方向和达平衡条件的判据()。 A 纯物质单纯P、V、T变化; B 两种和两种以上的物质恒温恒压混合; C 恒温恒压非平衡条件下的相变化过程;D恒温恒压下不作电功的化学变化过程。 4、恒压下纯气体物质的吉布斯函数G随温度的升高而()。 A 增加; B 降低; C 不变; D 不能判定。 5、对于均相封闭系统(?G/?P)T 等于( ). A (?G/?T)P ; B (?U/?S)V; C (?A/?V)T; D (?H/?P)S。 6、乙醇比水易挥发,将少量乙醇溶于水形成稀溶液,下列说法中何者是正确的()。 A 溶液的蒸汽压必低于同温下水的饱和蒸汽压; B 溶液的沸点比高于相同压力下水的沸点; C 溶液的凝固点必低于相同压力下水的凝固点; D 平衡气相中乙醇的摩尔分数小于液相中乙醇的摩尔分数。 7、将不挥发性溶质甲、乙分别溶于水形成稀溶液,若甲的水溶液的凝固点低于乙的水溶液的凝固点,则甲的水溶液的沸点和乙的水溶液的沸点有()。 A 乙的高; B 甲的高; C 一样高; D 无法比较。 8、理想气体反应;N2O4(g)=2NO2(g)在某温度达平衡后,在恒压下向系统加入惰性气体,平衡转化率()。 A提高;B 降低;C 不变;D无法确定。 9、在10ml、1mol·L-1的KOH溶液中加入1ml水,其电导率к如何变化( )。 A增大;B 减小;C 不变 D 无法判定。 10 某电池的电动势随温度升高而降低,则电池放电时的焓变的符号为()。 A ?r H m >0; B ?r H m <0; C ?r H m =0; D 无法判定。 11、电解金属盐的水溶液时在阴极上()。 A 平衡还原电势与超电势之和越正的金属越易析出;B平衡还原电势越正的金属越易析出;C平衡还原电势与超电势之和越负的金属越易析出;D平衡还原电势越负的金属越易析出。 12、对于反应2A→C+D,反应物浓度降为初始浓度一半需时间20min,降为1/4需时间60min,该反应为()。 A 零级反应; B 一级反应; C 二级反应; D 三级反应。

化学实验报告完整版

化学实验报告 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

化学实验报告 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个必修模

广东工业大学导师信息

姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 王 成勇3男教授 博 士 大连理工大 学,1989 模具高速加工及 CAD/CAM,精密超精密 加工理论、设备与工 具,超硬材料及纳米 材料工具 阎 秋生4男教授 博 士 天津大学、 磨削加工工艺、微细加 工、先进加工装备 郭 钟宁3男教授 博 士 香港理工大学 特种加工、微细加工、 加工过程检控 魏昕3女教授 博 士 华南理工大学 微电子材料精密超精 密精密加工技术,加工 过程监测技术,高能束 加工技术 袁慧1女 副教 授 大 学 吉林工业大 学,1977 难加工材料精密加工 与工具 马平2男教授 博 士 南京航天航空 大学/ 高速机床研究\数控技 术\智能监测与控制技 术研究 pingma@gdut 傅 惠南3男教授 博 士 日本神户大学 /1999 微纳米操作加工\微纳 米检测控制\超精密研 磨 张 永俊2男1966教授 博 士 南京航天航空 大学/94 特种加工技术\机器人 运动\动力学研究 (郭钟宁教授负 责) 姜 莉莉3女教授 博 士 莫斯科工业大 学,1998 制造过程信息化, CAD/CAM/PDM. 李 锻能2男 副教 授 学 士 1982年湖南大 学本科 机械制造装备、高速加 工、滑动轴承 高 伟强2男 副教 授 博 士 “Stankin” 莫斯科国立工 业大学 磁性研磨,先进制造装 备设计,CAD/CAPP/CAM 于1男副教学1982年北京精密加工、特种加工、

兆勤授士理工大学数控加工技术 (CAD/CAM) 肖 曙红2男 副教 授 博 士 华南理工大 学, 高速数控机床,直接驱 动控制,精密机械与数 字化设计 张 凤林1男 副教 授 博 士 华南理工大 学, 超硬材料工具制造 徐 晓东1男 研究 员 博 士 北京科技大 学,2000 射流加工理论与工艺 (王成勇教授负 责) 林 一松1 (王成勇教授负 责) 本帖最后由广工机 电于201 1-3-20 16: 55 编辑 姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 陈新4男教授 博 士 华中理工大学, CIMS与网络化制造,微 电子装备制造 郑 德涛1男教授 博 士 清华大学, CIMS与网络化制造,微 电子装备制造 吴 百海4男教授 学 士 中南建筑学院, 1964 机电液智能控制,海洋 机电工程

(完整版)初中生物实验报告单.docx

实验报告单 实验时间年月日(星期)班级学生姓名 实验内容练习使用显微镜 说出显微镜的主要结构的名称和用途。 实验目的练习使用显微镜,学会规范操作显微镜。 尝试使用低倍镜观察到清晰的物像。 实验器材显微镜、写有“上”字的玻片、擦镜纸、纱布。 实验报告单实验时间年月日(星期)班级学 实验内容观察人和动物细胞的基本 学会制作人口腔上皮细胞临时装片。 实验目的用显微镜观察动物细胞的形态结构。 初步学会画细胞结构图。 显微镜、载玻片、盖玻片、0.9%生理盐水、碘液、 实验器材 吸水纸、其他动物细胞的永久装片。 实验步骤 1、取镜安放实 2、对光 3、放置玻片验 标本 步 4、观察 骤 实验步骤 5、收放 结 论 实验过程讨论分析 取显微镜时,左手握 显微镜是贵重仪器,双手取镜是为了。 住,右手托 安放显微镜略偏左的目的是: 住。安放显微镜应略 。 偏。 转动转换器,使低倍物镜对准当外界光源暗时,应选用光圈对准通光孔,同时选 孔。用反光镜。 把要观察的玻片放在 尽量使要观察的标本正对通光孔中央,这样物像容易 上,尽量使要观察的标本正对 在中找到。 中央。 转动粗准焦螺旋,使镜筒缓缓 眼睛应从侧面注视的目的是:避免 。 降,直至为 镜筒上升切忌太快,因为只有在 止,眼睛应从侧面注 位置上,物象才清晰。若镜筒上升太快,极易错过 视。 焦距。 时针转动粗准焦螺旋, 要将视野右下方的物像移到视野中央,则推移装片的 使镜简缓缓上升直到看清物像为 方向是。 止。再转动 “上”字装片在显微镜下呈图像。说明显微镜成像 准焦螺旋,使物像更清晰。 是。 实验过程讨论分析 实验后,把显微镜擦拭干净。 转动转换器使两个物镜。镜 筒降至处,反光镜放在 实 验 成 绩 实验步骤实验过程 为什 ①擦干净载玻片和盖玻片。 ②在载玻片中央,滴一滴 碎屑 实浓度一般是。 抹要均 1、制作人口腔 ③用消毒牙签的一端在口腔 侧壁轻刮几下。 验上皮细胞临时装避免 片。 ④把牙签上附有碎屑的一端, 放在载玻片的水滴中涂抹几下。 步⑤盖上盖玻片。 气泡与 ⑥在盖玻片一侧加在 骤 另一侧用吸水纸吸。 2、是微镜观察 人口腔上皮细胞 实验步骤实验过程讨论分 按生物绘图要求,画出人体口腔上皮细胞的结构 结图,并注明各部分结构的名称。实 验 成 论绩 指导教师: _________________实验教 指导教师: _________________实验教师:_______________

广工 EDA课程设计

i 课 程 设 计 课程名称___VHDL 与集成电路设计___ 题目名称___电子钟VHDL 设计______ 学生学院___物理与光电工程学院___ 专业班级___ __________ 学 号_____________ 学生姓名___ ______________ 指导教师_______________ 2014 年 12 月 19 日

目录 一、前言 (1) 1.1 EDA技术简介 (1) 1.2 EDA的发展前景 (1) 二、设计内容及要求 (1) 2.1设计内容 (1) 2.2 设计要求 (1) 2.3 实验目的 (2) 三、设计原理及框图 (2) 3.1设计原理 (2) 3.2 设计框图 (2) 四、模块程序设计 (4) 4.1 秒、分模块程序及仿真 (4) 4.2 时模块程序及仿真 (6) 4.3 消抖模块 (7) 4.4 顶层文件设计 (8) 五、调试 (11) 六、心得总结 (12) 参考文献 (12) ii

一、前言 1.1 EDA技术简介 电子系统设计自动化(EDA: Electronic Design Automation)已成为不可逆转的潮流,它是包含CAD、CAE、CAM等与计算机辅助设计或设计自动化等相关技术的总称。随着信息时代的到来,信息电子产品已不断地向系统高度集成化和高度微型化发展,使得传统的手工设计和生产技术无法满足信息产品的社会和市场需要,因此,人们开始借助于EDA技术进行产品的设计和开发。目前EDA 技术主要是以计算机软件工具形式表现出来的,对于现代复杂的电子产品设计和开发来说,一般需要考虑“自上而下”三个不同层次内容的设计(即:系统结构级设计,PCB板级设计和IC集成芯片级设计)。Protel DXP软件系统是一套建立在IBM兼容PC环境下的CAD电路集成设计系统,它是世界上第一套EDA环境引入到Windows环境的EDA开发工具,具有高度的集成性和可扩展性。本设计就是利用Protel DXP 进行原理图设计、PCB布局布线、进行电路仿真测试。通过本设计充分了解到Protel DXP的特点并且充分掌握了Protel DXP的设计系统的基础知识。 1.2 EDA的发展前景 随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前言之一。 由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子发展技术再次推向了又一崭新的历史阶段。这些新的发展大致包含了这样6个方面:1.新器件;2.新工具软件;3.嵌入式系统设计;4.DSP系统设计;5.计算机处理器设计;6.与ASIC市场的竞争技术。 二、设计内容及要求 2.1设计内容 设计一个电子钟,要求可以显示时、分、秒,用户可以设置时间。 2.2 设计要求 ①设计思路清晰,整体设计给出框图,提供顶层电路图; ②应用vhdl完成各次级模块设计,绘出具体设计程序; 1

广工EDA数字逻辑第5章

5.7 EDA开发综合实例3:SmartDesign的使用 在Libero中,除了可以编写程序实现相应设计外,还可通过可视化操作方式(“SmartDesign”软件),对现成的模块进行连线和拼装,实现特定的功能。 下例采用可视化方法实现1位全加器,再改造为2位串行进位加法器,操作过程既有通过编写代码建立模块,也有调用现成模块,还有通过IP核创建实例模块,并对多个模块进行拼装和测试。 5.7.1 使用半加器构造全加器 通过半加器来构造全加器的方法在4.7.3中讨论了,以下的模块及其连接均基于图4-24完成。 1.新建工程 打开Libero IDE,选择“Project”菜单的“New Project”命令,输入项目名称、选择项目存放路径,选择语言Verilog(如图5-62所示)。设备的选择同5.6中的实例2。 2.新建SmartDesign设计 在“Project Manager”中点击“SmartDesign”按钮(如图5-63),在弹出的对话框中输入设计名称,如图5-64所示。

工作区中会显示打开了“adders”设计的画布,但画布是一片空白,如图5-65所示。 3.添加半加器模块 点击“Project Flow”切换回项目流程,点击“HDL Editor”按钮,输入并新建Verilog 程序文件。如图5-66所示:

在打开的文件中输入半加器程序代码,代码同4.7.3中的半加器设计。 项目会把第一个建立的模块或设计作为“根”(Root),并加粗显示,如果项目中的根不是“adders”,则可在“Design Explorer”窗口中对着“adders”按右键,选择“Set As Root”进行修改。如图5-68所示:

大学计算机实验报告范例(完整版)

报告编号:YT-FS-1587-65 大学计算机实验报告范例 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

大学计算机实验报告范例(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件 (夹)的选择、新建、移动、复制、删除、重命名的 操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命

名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等 班/王帅、王鹏 3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称: 《Java程序设计实验》 班级: 信息1202 姓名: 方逸梅 学号: 1212100231 指导老师: 费玉莲 《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 1、6。

(四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容与要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━实验目的、实验原理与内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1. 下载、安装并设置Java SDK 软件包。 2. 熟悉Eclipse编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application与Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { System、out、println("Hello java! 欢迎使用!"); } } } 结果示意图1

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

实验报告要求和格式完整版

编号:TQC/K633 实验报告要求和格式完整 版 Daily description of the work content, achievements, and shortcomings, and finally put forward reasonable suggestions or new direction of efforts, so that the overall process does not deviate from the direction, continue to move towards the established goal. 【适用信息传递/研究经验/相互监督/自我提升等场景】 编写:________________________ 审核:________________________ 时间:________________________ 部门:________________________

实验报告要求和格式完整版 下载说明:本报告资料适合用于日常描述工作内容,取得的成绩,以及不足,最后提出合理化的建议或者新的努力方向,使整体流程的进度信息实现快速共享,并使整体过程不偏离方向,继续朝既定的目标前行。可直接应用日常文档制作,也可以根据实际需要对其进行修改。 实验报告要求 1. 认真完成实验报告,报告要用中国海洋大学实验报告纸,作图要用坐标纸。 2. 报告中的电路图、光路图、表格必须用直尺画,数据使用钢笔、圆珠笔不得使用铅笔。 3. 应在理解的基础上简单扼要的书写实验原理,不提倡大段抄书。 4. 应结合具体的实验现象和问题进行讨论。 实验报告格式

广工数字逻辑与dea设计实验报告

实验报告 1、基本门电路 一、实验目的 1、了解基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、7 4HC86进行VerilogHDL设计的方法。 4、掌握Libero软件的使用方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、在自己的工程文件中,新建一个设计代码文件(Verilog Source File),文件命名规则:学号+下划线+BasGate 例:3115000001_BasGate.v 在自己的工程文件中,新建一个测试平台文件(HDL Stimulus File),文件命名规则:test_BasGate.v 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材P192页的设计代码、测试平台代码(可自行编程,所有门电路放在一个模块里面),完成2输入与非门、2输入或非门、2输入与门、2输入或门、2输入异或门、非门的设计、综合及仿真。 4、提交针对基本门电路的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、门电路 ...模块清单及测试平台代码清单 (1)所有硬件功能模块的代码清单(关键代码应有注释) // 3117005278_BasGate.v (综合设计与、或、异或、与非、或非在一个模块) module gates(a,b,y1,y2,y3,y4,y5); input a,b; output y1,y2,y3,y4,y5; assign y1=a&b; assign y2=a|b; assign y3=a^b; assign y4=~(a&b); assign y5=~(a|b); endmodule // test_BasGate.v(综合设计测试平台) `timescale 1ns/1ns module testbench(); reg a,b; wire y1,y2,y3,y4,y5;

实验报告总结(完整版)

报告编号:YT-FS-9125-27 实验报告总结(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告总结(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 教育实验报告 对某种教育现象实验后,要对整个实验过程进行全面总结,提出一个客观的、概括的、能反映全过程及其结果的书面材料,即谓教育实验报告。教育实验报告可分为三部分:①前言。②实验过程和结果。③讨论及结论。实验报告的基本结构: (1)题目。应以简练、概括、明确的语句反映出教育的对象、领域、方法和问题,使读者一目了然,判断出有无阅读价值。 (2)单位、作者。应写明研究者的工作单位,或写明某某课题实验者或牵头人、组长、撰稿人,其他人员可写在报告的结尾处。以示对实验报告的负责,并便于读者与之联系。

(3)课题部分。是实验研究工作的出发点和实验报告的核心。课题的表述要具体、清楚,明确表示出作者的研究方向、目的,并说明课题来源、背景、针对性及解决该课题的实际意义的价值。 (4)实验方法。这是实验报告的主要内容之一,目的是使人了解研究结果是在什么条件下和情况中通过什么方法,根据什么事实得来的,从而判定实验研究的科学性和结果的真实性和可靠性,并可依此进行重复验证。关于实验方法主要应交代:①怎样选择被试,被试的条件、数量、取样方式,实验时间及研究结果的适应范围。②实验的组织类型(方法)及采取这种组织类型的依据。即:单组实验、等组实验还是轮组实验;采取这种实验类型的依据包括哪些方面,如考试成绩及评分标准;基础测定及测定内容等。③实验的具体步骤;对实验班进行实验处理的情况。④因果共变关系的验证(要注意原因变量一定要出现在结果变量之前,或两者同时出现,但不能产生于结果变量之后,否则先果后因,实验就不成立了)。这里,

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

实验报告大全(完整版)

报告编号:YT-FS-8562-62 实验报告大全(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告大全(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 实验要求及说明: 1、基本要求是程序必须实现部分。在完成基本要求的基础上,可对程序功能进行增强和增加。程序功能的增强可以获得额外的成绩。 2、程序的书写应符合规范。应具有适当的缩进、空格和空行,清晰的注释。函数名和变量名应尽量有意义,能够反映用途。(书写不符合要求的程序要扣分) 3、实验报告中,要对每个程序要有详细的功能描述、输入和输出说明,程序代码和程序运行结果。(功能描述不清晰、输入输出说明不准确对报告要扣分) 4、除规定的实验内容之外,每人可以提交一个自己设计的程序,要求同上。(有附加分)

5、合格条件:1)完成三个实验。2)按要求书写实验报告。3)独立完成。 6、上述说明在提交的报告中删除。 实验一:数据分析程序 编写一个程序,从数据文件中读取数据,并计算数据的统计特性,如均值和标准差。在显示器上输出数据的总数、均值和标准差。具体说明如下:数据文件名作为程序参数输入。 2. 数据文件中数据的个数预先未知,应从文件中得到。数据文件的格式可自定义。程序的各功能应由不同的函数完成。 实验二:形状表示程序 基本要求 定义三角形(Triangle)、矩形(Rectangle)和圆形(Circle)三个形状类。编写一个程序,能够根据用户输入生成相应的形状类对象。将形状的信息输出到显示器和文件中。具体说明如下: 1. 三个形状类应包含构造函数和成员函数(函数

交通灯控制器设计 广工 数电

课程设计 课程名称电子技术综合设计与实践题目名称交通灯控制器 学生学院自动化学院 专业班级09自动化 4班 学号 学生姓名 指导教师张学习 2011年9 月31 日

广东工业大学课程设计任务书 题目名称交通灯控制器 学生学院自动化学院 专业班级09自动化4班 姓名马聪文 学号3109001620 一、课程设计的内容 设计一个十字路口的红、绿、黄三色信号交通灯控制电路。 二、课程设计的要求与数据 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。 3). 能实现正常的、即时显示功能。用DE2上的四个七段数码管作为倒计时显示器。分别显示东西、南北方向的红灯、绿灯、黄灯时间。 4).能实现特殊状态的功能显示。设S为特殊状态的传感器信号,当S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实现特殊状态功能:(1)显示器闪烁; (2)计数器停止计数并保持在原来的数据; (3)东西、南北路口均显示红灯状态; (4)特殊状态结束后,能继续对时间进行计数。 5).能实现总体清零功能。按下R后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。

有关实验报告的书写格式(完整版)

报告编号:YT-FS-3825-30 有关实验报告的书写格式 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

有关实验报告的书写格式(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、完整实验报告的书写 完整的一份实验报告一般包括以下项目:实验名 称: 实验目的: 实验器材: 实验原理: 实验步骤: 实验数据记录(表格)及处理: 实验结论(结果推导): 实验讨论或分析等。 二、实验报告书写方法 1、实验名称:就是这个实验是做什么的。 2、实验目的:一般都写掌握什么方法啊;了解什

么啊;知道什么啊;会什么啊;……等。 3、实验器材:就是做这个实验需要的所有器材(仪器)。 4、实验原理:就是这个实验是根据什么来做的,一般书上会写,抄一下也就可以啦。 5、实验步骤:就是你做实验的过程,开始操作时,(1)做什么; (2)做什么;(3)做什么;…… 6、实验数据记录(表格)及处理:根据实验中涉及以及实验得到的数据,设计表格,将有关数据填在表格相应的位置;数据处理,就是该计算的,按要求计算后填入表格对应位置。 7、实验结论(结果推导):就是做这个实验要得到的结果。 8、分析于讨论:写你的实验结果是否适合真实值?如果有误差要分析产生误差的原因,还有实验的一些比较关键的步骤的注意事项等。 对于初中生或小学生来说,书写的实验报告也可简单一点,有时也可不要分析于讨论,也可不写实验

相关文档
相关文档 最新文档