文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理与汇编语言—复习提纲

计算机组成原理与汇编语言—复习提纲

计算机组成原理与汇编语言—复习提纲
计算机组成原理与汇编语言—复习提纲

考试题型:选择题:2分×10=20分

填空题:2分/×空10=20分

简答题:6小题共20分

计算题:10分×2=20分

设计题:10分×2=20分

第一章:绪论

知识点:

1、存储程序概念(基本含义)。

①计算机应由运算器、存储器、控制器、输入设备和输出设备组成

②计算机内部采用二进制来表示指令和数据

③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作

2、冯·诺依曼计算机结构的核心思想是什么?

3、主机的概念(组成部件是哪些?)

主存储器,运算器,控制器

4、计算机的五大基本部件有哪些?

运算器、存储器、控制器、输入设备和输出设备

5、冯·诺依曼结构和哈佛结构的存储器的设计思想各是什么?Cache和主存储器分别是采用的哪种设计思想?

冯·诺依曼结构设计思想:指令和数据是不加区别的混合存储在同一个存储器中的,共享数据总线。

哈佛结构的存储器的设计思想:指令和数据是完全分开的,存储器分为两部分:一是程序存储器,用来存放指令;另一个是数据存储器,用来存放数据。

Cache采用哈佛结构的存储器,主存储器采用冯·诺依曼结构

6、计算机系统是有软件系统和硬件系统组成的;

而计算机的硬件系统是有主机和外部设备组成的,外部设备包括输入设备和输出设备(即I/O设备)。

5、现代个人PC机在总线结构上基本上都采用的是单总线结构,根据所传送的信息类型不同又可分为哪三类总线?

数据总线,地址总线,控制总线

第二章:数据的机器层表示

知识点:

1、定点小数表示范围(原码、补码)

原码定点小数表示范围为:-(1-2-n)~(1-2-n)

补码定点小数表示范围为:-1~(1-2-n)

2、定点整数表示范围(原码、补码)

原码定点整数的表示范围为:-(2n-1)~(2n-1)

补码定点整数的表示范围为:-2n~(2n-1)

3、浮点数表示范围

4、规格化的浮点数,要求尾数部分(补码纯小数表示)满足规格化要求,即除了之外,其余的规格化位数均满足符号位与最高数值位不同。

5、移码表示(移码和补码相比,只是符号位上,移码用1表示正数,0表示负数)

6、原码、反码、补码和移码中,哪些码的数值在数轴上关于0点对称,并且0有两种不同的表示形式?哪些码的数值在数轴上关于0点不对称,并且0有唯一的表示形式?哪些码经常用来表示浮点数的阶码?

原码:[+0]原=00000 [-0]=10000

补码:[+0]=[-0]=00000 补码中,真值0的表现形式是唯一 反码:[+0]=00000 [-0]=11111 原码和反码数值在数轴上关于0点对称 补码的数值在数轴上关于0点不对称 移码和补码经常用来表示浮点数的阶码

练习题:

1、用原码表示某纯小数,共占10位,其中1位用来表示符号位,则数值表示范围是?若用补码表示该纯小数,则数值表示范围是?若表示的是纯整数,采用原码表示和补码表示,所能表示的数值范围各是?

2、设机器数的字长8位(含8位符号位),分别写出下列各二进制数的原码、补码和反码。

1110,0011.0--,

32

51289-, 解:

原码

补码

反码

0011.0-

0011000.1 1101000.1

1100111.1

1110-

0001110,1 1110010,1

1110001,1

1289 0001001.0 0001001.0 0001001.0 32

5-

0010100.1

1101100.1

1101011.1

3、.某浮点数字长16 位 , 其中阶码部分 4 位(含 1 位阶符),移码表示 , 以2 为底 ; 尾数部分12位(含1位数符,位于尾数最高位),补码表示,规格化。分别写出下列各题的二进制代码与十进制真值。 (1) 非零最小正数 ; (2) 最大正数 ;

(3) 绝对值最小负数 ; (4) 绝对值最大负数

229

8

1.0--=?

227

11

)1(?--

2228

111)(---?+-

27

1?-

第三章:指令系统

知识点:

1、指令的基本格式(OP 字段和地址字段组成)。

2、指令的地址码结构(

3、2、1、0地址指令的区别) 四地址指令:

(A1)OP(A2) A3

A4=下一条将要执行的指令的地址

三地址指令:

(A1)OP (A2)A3 (PC )+1PC (隐含)

二地址指令:

(A1)OP (A2)A1 (PC )+1PC (隐含)

一地址指令:

(A CC )OP (A1)A CC (PC )+1PC (隐含)

3、规整型指令的操作码设计(扩展操作码法)P53

4、编址方式(字编址、字节编址、位编址。哪种编址方式能支持单字节访问?哪几种是有地址空间浪费的?)

字节编址方式能支持单字节访问

字节编址、位编址是有地址空间浪费的

5、指令中地址码的位数是和主存容量(寻址空间)、最小寻址单位有关的。

6、各种数据寻址方式的速度区别?

各种数据寻址方式获得数据的速度(由快到慢)

OP 地址

OP A1 A2 A3 A4

7

(地址=A)

立即寻址、

直接寻址:EA=A

一级间接寻址:EA=(A)

寄存器寻址、寄存器间接寻址、多级间接寻址、

相对寻址:EA=(PC)+D

变址寻址:EA=(R X)+A

基址寻址EA=(R b)+D

页址寻址EA=0∥A或EA=(PC)H∥A

8、什么是精简指令系统(RISC)?

减少指令种类和简化指令功能,提高指令的执行速度

9、输入输出设备的两种编址方式:独立编址I/O方式、统一编址I/O。

练习题:

课本P76 3-4 3-9 3-10 3-12

第四章:数值的及其运算

知识点:

1、已知[Y]补求[-Y]补的方法(连同符号位按位取反加1)。

[-Y]补=[[Y]补]变补

变补:不论这个数的真值是正是负,一律连同符号位变反,末位加1

2、双符号位补码的溢出判断与检测方法(两个符号位异号)。

双符号位的含义如下:

S s1S s2=00 结果为正数,无溢出

S s1S s2=01 结果正溢

S s1S s2=10 结果负溢

S s1S s2=11 结果为负数,无溢出

运算规则:

①参加运算的两个操作数均用补码表示

②符号位作为数的一部分参加运算

③若做加法,则两数直接相加;若做减法,则将被减数与减数的机器负数相加

④运算结果仍用补码表示

3、掌握补码的左移、右移运算方法(补码右移时,左边空出来的位补符号位;左移时,右边空出来的位补0)。

4、掌握浮点数加减运算的方法P106-P107

练习题:

1、已知:X=,Y=

(1)、将X、Y分别转换成二进制浮点数(阶码和尾数均用补码表示,其中阶码占4位,尾数占8位,各包含一位符号位)。

(2)、用变形补码,求X-Y=?(舍入采用恒舍法,结果用二进制和十进制两种方法表示。)

解:(1)、X===×23, [X]浮=×20011

Y===×24, [Y]浮=×20100

[-Y]浮=×20100

(2)、[ΔE]移=[Ex]移- [Ey]补=0011-0100=-1

即ΔE=-1,则x向y的阶码看齐,x的尾数右移1位,阶码加1;则:

[X]浮=0100,1. 1001110

(2)尾数相减,采用双符号位:

[M

x ]

11.1001110

+[-M

y ]

11.0110011

11.0000001

(M

x -M

y

)

=

(3)规格化

尾数运算结果符号位和最高有效数值位同号,不需要规格化,即:

(X-Y)浮=20100×

X-Y=()×20100= =

第五章:存储系统和结构

知识点:

1、计算机的存储系统是?

高速缓冲存储器(Cache),主存储器,辅助存储器

2、存储器分类:

按存取方式分类可分为?

随机存取存储器RAM, 只读存储器ROM,顺序存取存储器SAM,直接存取存储器DAM

按信息的可保存性分类可分为?

易失性存储器,非易失性存储器

3、存储系统层次结构可分为Cache-主存层次和主-辅存层次。他们各是为了解决什么问题而提出来的?

Cache-主存层次是为解决主存速度不足而提出来的;

主-辅存层次是为解决主存容量不足而提出来的。

4、在字节编址计算机的地址安排方案中,什么是大端方案?什么是小端方案?P126

4、什么是边界对齐的数据存放方法?P128-129。

5、SRAM和DRAM的读写速度比较?

SRAM的存取速度快,但集成度低,功耗也比较大。DRAM集成度高,功耗小,但存取速度慢。

高速缓冲器和主存储器各是采用了二者中哪种来制作的?

高速缓冲器采用SRAM,主存储器采用DRAM

6、动态RAM(DRAM)的三种刷新方式各是什么?

集中式,分散式,异步式

刷新过程中的死区是什么?

集中式的死区:在集中刷新间必须停止读写

分散式,异步式无死区

7、刷新和再生的区别是什么?

重写是随机的,某个存储单元只有在破坏性读出之后才需要重写。

刷新是定时的,即使许多记忆单元长期未被访问,若不及时补充电荷的话,信息也会丢失。

8、主存容量的扩展:字扩展,位扩展,同时扩展。存储芯片的地址分配和片选。

9、Cache与主存之间的地址映像的方法有哪3种?

全相联映像,直接映像,组相联映像

哪种方式最灵活?全相联映像

哪种最不灵活?直接映像

哪种冲突率最高、空间利用率最低?直接映像

哪种块冲突率最高、空间利用率最高?全相联映像

哪种地址变换速度最快、容易实现?直接映像

哪种地址变换速度最慢、不容易实现?全相联映像

10、什么是Cache读命中?

当CPU发出读请求时,如果Cache命中,就直接对Cache进行读操作,与主存无关

11、在对Cache中的块进行替换时,常用的替换算法有哪几种?

随机算法,先进先出(FIFO)算法,近期最少使用(LRU)算法

12、Cache有哪2种更新策略?

写直达法和写回法

练习题:

1、某计算机字长为32位,其容量是1MB,按字节编址的寻址范围是多少?若主存以字节编址,试画出主存字地址和字节地址的分配情况。

2、现有1024 × 4 的存储芯片,若用它组成容量为16K × 8 的存储器。试求:(1)实现该存储器所需的芯片数量?

(2)若将这些芯片分装在若干块板上,每块板的容量为4K × 8 ,该存储器所需的地址线总位数是多少?其中几位用于选板?几位用于选片?几位用作片内地址?

(3)画出一块板内各芯片的连接逻辑图。

3、设某机Cache的速度为主存速度的5倍;如果Cache命中率为90%,则有Cache和无Cache 相比,速度提高多少倍?

第六章:中央处理器

知识点:

1、中央处理器的组成包括哪些部件?

运算器和控制器两大部分组成

CPU模型:

2、控制器有哪几种控制方式?各有何特点?

1.同步控制方式:这种控制方式设计简单,容易实现,但是对于许多简单指令来说会有较多的空闲时间,造成较大数量的时间浪费,从而影响了指令的执行速度。

2.异步控制方式:异步控制采用不同时序,没有时间上的浪费,因而提高了机器的效率,但是控制比较复杂。

3.联合控制方式:在功能部件内部采用同步方式或以同步方式为主的控制方式,在功能部件之间采用异步方式。

3、中央处理器有哪些专用寄存器?各完成什么功能?

程序计数器(PC):程序计数器用来存放正在执行的指令地址或接着要执行的下条指令地址指令寄存器(IR):指令寄存器用来存放从存储器中取出的指令

存储器地址寄存器(MAR):存储器地址寄存器用来保存当前CPU所访问的主存单元的地址存储器数据寄存器(MDR):存储器数据寄存器用来暂时存放由主存储器读出的一条指令或一个数据字;反之,当向主存存入一条指令或一个数据字时,也暂时将它们存放在存储器数据寄存器中

状态标志寄存器(PSWR):状态标志寄存器用来存放程序状态字的

4、控制器的硬件实现方法中,组合逻辑控制器和微程序控制器的区别?

组合逻辑型

这种控制器称为常规控制器或硬布线控制器,它是采用组合逻辑技术来实现的,其微操作序列形成部件是由门电路组成的复杂树形网络。

组合逻辑控制器的最大优点是速度快,但是微操作信号发生器的结构不规整,使得设计、调试、维修较困难,难以实现设计自动化。 微程序控制器

它是采用存储逻辑来实现的,也就是把微操作信号代码化,使每条机器指令转化成为一段微程序并存入一个专门的存储器(控制存储器)中,微操作控制信号由微指令产生。

它具有设计规整、调试、维修以及更改、扩充指令方便的优点,易于实现自动化设计,已成为当前控制器的主流。但是,由于它增加了一级控制存储器,所以指令执行速度比组合逻辑控制器慢。

5、什么是三级时序系统?指令周期、机器周期、节拍和工作脉冲之间的关系是什么? 机器周期、节拍、工作脉冲三级时序系统

每个机器周期M 中包括若干节拍,每个节拍内有一个脉冲。在机器周期间、节拍电位间、工作脉冲间既不允许有重叠交叉,也不允许有空隙,应该是一个接一个的准确连接 6、一条指令的运行过程可分为几个阶段?其中哪个阶段属于公共操作? 取指令阶段、分析取数阶段和执行阶段 取指令阶段

7、掌握取指令阶段的微指令操作序列。

①将程序计数器(PC )中的内容送至存储器地址寄存器(MAR ),并送地址总线(AB )。(PC)→MAR

②由控制单元(CU )经控制总线(CB )向主存发读命令。 Read

③从主存中取出的指令通过数据总线(DB )送到存储器数据寄存器(MDR )。 M(MAR) →MDR ④将MDR 的内容送至指令寄存器(IR )中。 (MDR)→IR

⑤将PC 的内容递增,为取下一条指令做好准备。 (PC)+1→PC

数据总地址总控制总

8、理解微程序控制的有关术语(如微命令 、微操作、微指令、微程序等),并掌握微程序和普通的程序指令之间的关系。

9、微指令编码法有哪三种?直接控制法(不译码法),最短编码法,字段编码法 哪种编码方法指令字最长?直接控制法 哪种最短?最短编码法

哪种编码方法并行性最好?直接控制法 哪种最差?最短编码法 在字段编码法中,我们是将兼容性的微指令放在同一字段还是将互斥性的微指令放在同一字

段?

将互斥性的微指令放在同一字段,兼容性的微命令分在不同段内。

10、理解流水线技术及其工作原理。

流水工作原理:流水处理技术是在重叠、先行控制方式的基础上发展起来的,它基于重叠的原理,但却是在更高程度上的重叠。

流水线是将一个较复杂的处理过程分成m个复杂程度相当、处理时间大致相等的子过程,每个子过程由一个独立的功能部件来完成,处理对象在各子过程连成的线路上连续流动。在同一时间,m个部件同时进行不同的操作,完成对不同子过程的处理。

练习题:

课后题 P209 6-13 P210 6-14

3、一条指令的执行过程可以分解为取指、分析和执行三个步骤,取指的时间为3t,分析的时间为2t,执行的时间为4t。若按照串行方式执行,则10条指令全部执行完需要的时间是多少?若按照流水线方式执行,则执行完10条指令需要的时间是多少?

第八章:外部设备

知识点:

1、掌握磁介质存储器的主要技术指标(记录密度,存储容量,平均存取时间,数据传送率,误码率)。

2、掌握硬盘存储器技术参数的计算。

3、掌握格式化容量和非格式化容量的区别以及各自的参数计算方法(格式化容量是按照位密度进行计算,格式化容量是按照扇区来进行计算)。

非格式化容量=最大位密度×最内圈磁道周长×总磁道数

格式化容量=每道扇区数×扇区容量×总磁道数

4、格式化容量是以扇区为基本存储单位的。

5、磁盘命令如何表示磁盘地址?

练习题:

P277 课后题 8-4,8-5,8-6

第九章:输入输出系统

知识点:

1、接口是有哪三部分组成的?

数据端口、控制端口和状态端口

2、接口与端口的区别是?

端口是指接口电路中可以进行读/写的寄存器,若干个端口加上相应的控制逻辑电路和译码电路才组成接口。

3、接口按照数据传送方式可分为串行接口(例如硬盘控制器的IDE)和并行接口(例如硬盘控制器的SATA接口),按照主机访问I/O设备的控制方式(或者成为I/O设备传送信息的控制方式)有哪几种?

程序查询式接口、中断接口、DMA接口等

4、程序中断和调用子程序有什么区别?

⑴子程序的执行是由程序员事先安排好的(由一条调用子程序指令转入),而中断服务程序的执行则是由随机的中断事件引起的;

⑵子程序的执行受到主程序或上层子程序的控制,而中断服务程序一般与被中断的现行程序毫无关系;

⑶不存在同时调用多个子程序的情况,但有可能发生多个外设同时请求CPU为自己服务的情况。

5、向量中断和非向量中断有什么不同?

向量中断是指那些中断服务程序的入口地址是由中断事件自己提供的中断。中断事件在提出中断请求的同时,通过硬件向主机提供中断服务程序入口地址,即向量地址。

非向量中断的中断事件不能直接提供中断服务程序的入口地址,而由CPU 查询之后得到。

6、CPU响应中断的条件有哪些?

(1) CPU接收到中断请求信号

(2) CPU允许中断

(3) 一条指令执行完毕

7、什么是中断隐指令?它完成的操作有哪些?

CPU响应中断之后,经过某些操作,转去执行中断服务程序。这些操作是由硬件直接实现的,我们把它称为中断隐指令。

其所完成的操作主要有:

(1)保存断点

(2)暂不允许中断(关中断)

(3)引出中断服务程序

8、如何使计算机具备多重中断(中断嵌套)的能力?

首先要能保护多个断点,先发生的中断请求的断点,先保护后恢复;后发生的中断请求的断点,后保护先恢复,同时在CPU进入某一中断服务程序之后,系统必须处于开中断状态,否则中断嵌套是不可能实现的。

9、理解中断屏蔽和中断升级的原理。

中断屏蔽字的另一个作用是可以改变中断优先级,将原级别较低的中断源变成较高的级别,我们称之为中断升级。

中断源发出中断请求之后,这个中断请求并不一定能真正送到CPU去,在有些情况下,可以用程序方式有选择地封锁部分中断,这就是中断屏蔽。

10、理解中断处理的全过程。P300 图9-18

11、掌握使用中断屏蔽码改变中断处理次序的方法。

12、通道控制方式和DMA方式的区别?

① DMA控制器是通过专门设计的硬件控制逻辑来实现对数据传送的控制;而通道则是一个具有特殊功能的处理器,它具有自己的指令和程序,通过执行一个通道程序实现对数据传送的控制,故通道具有更强的独立处理数据输入/输出的功能。

② DMA控制器通常只能控制一台或少数几台同类设备;而一个通道则可以同时控制许多台同类或不同类的设备。

13、按照输入输出信息的传送方式,通道可以分为哪三类?

字节多路通道,选择通道,数组多路通道

其中哪种通道用于连接与管理多台低速设备?为什么?

字节多路通道。以字节交叉方式传送信息

一个字节多路通道包括多个按字节方式传送信息的子通道,每个子通道可以独立的执行通道程序。各个子通道可以并行工作,但是所有子通道的的控制部分是公用的,各个子通道可以分时地使用控制部分

哪种方式适用于主机与单个高速外设之间进行简单的数据块传送?为什么?

选择通道。

在物理上它可以连接多个不能同时工作的设备,在一段时间内,通道只能选择一台设备进行数据传说,此时该设备占用整个通道。

通道虽然用于连接高速设备,如磁盘等,但是磁盘本身的寻道和等待等辅助操作会令通道处于等待状态,导致通道的利用率并不高,浪费了通道的高速传输特性。

练习题:

P314 9-18,9-19

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理选择题及答案

1. 冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是() A. 指令操作码的译码结果 B. 指令和数据的寻址方式 C. 指令周期的不同阶段 D. 指令和数据所在的存储单元 答案为:C 2. 假定变量i,f,d数据类型分别为int, float, double(int用补码表示,float和double用IEEE754单精度和双精度浮点数据格式表示),已知i=785,f=1.5678e3 ,d=1.5e100,若在32位机器中执行下列关系表达式,则结果为真的是() (I) i==(int)(float)i (II)f==(float)(int)f (III)f==(float)(double)f (IV)(d+f)-d==f A. 仅I和II B. 仅I和III C. 仅II和III D. 仅III和IV 答案B 3.一个C语言程序在一台32位机器上运行。程序中定义了三个变量x,y和z,其中x和z 是int型,y为short型。当x=127,y=-9时,执行赋值语句z=x+y 后,x、y和z的值分别是: A x=0000007FH , y=FFF9H , z=00000076H B x=0000007FH , y=FFF9H , z=FFFF0076H C x=0000007FH , y=FFF7H , z=FFFF0076H D x=0000007FH , y=FFF7H , z=00000076H 答案D 4. 某计算机主存容量为64KB,其中ROM区为4KB,其余为RAM区,按字节编址,现要用2K×8位的ROM芯片和4K×4位的RAM芯片来设计该存储 器,则需要上述规格的ROM芯片数和RAM芯片数分别是() A . 1、15 B . 2、15 C . 1、30 D . 2、30 答案D 5. 假定用若干个2K×4位芯片组成一个8K×8位的存储器,则地址0B1FH所在芯片的最小地址是() A. 0000H B. 0600H C. 0700H D. 0800H 答案D

计算机组成原理模拟试题

计算机组成原理 1.(45.75)10=(___________)16 2.若[X]补=1.0110,则[1/2X]补=___________。 3.若X补=1.1001,按舍入恒置1法舍去末位得__________。 4.运算器的核心部件是__________。 5.动态MOS存储器的刷新周期安排方式有____________、 _____________、_____________。 6.若地址码8位,按字节编址则访存空间可达___________,若地址码10位,则访存空间可达_____________,若地址码20位,则访存空间可达_____________。 7.CPU中用于控制的寄存器有_______________________、 __________________ 和_____________________三种;8.控制器的组成方式可分为______________________和微程序控制器两类。 9.按数据传送方式,外围接口可分为_________________和 __________________。 10.指令中的操作数一般可分为_______操作数和_______操作数。11.申请掌握使用总线的设备,被称为__________。 12.某CRT显示器,分辨率800列╳600行,如果工作在256色模式下,则至少需要_________字节的显示存储器。 选择题: 1、浮点加减中的对阶是() A.将较小的一个阶码调整到与较大的一个阶码相同 B.将较大的一个阶码调整到与较小的一个阶码相同 C.将被加数的阶码调整到与加数的阶码相同 D.将加数的阶码调整到与被加数的阶码相同 2、下列哪一个属于检错纠码() A. BCD码 B. ASCII码 C. 奇偶校验码 D. 8421码 3、指令格式可表示为()和地址码的形态 A.指令码 B. 操作码 C.微指令 D. 寄存器码 4、在不同速度的设备之间传送数据( )

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

《计算机组成原理》自测题3

1 电子科技大学网络教育考卷(B 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 计算机组成原理 教师签名_____ 一、单选题(每题2分,共10分) 从每小题的四个备选答案中选出一个正确答案,填在题干的括号内。 1. 按时序控制方式划分,可将总线分为( )。 ① 并行总线与串行总线 ② 同步总线与异步总线 ③ 内总线与外总线 ④ 高速总线与低速总线 2. 在补码除法中,上商规则是( )。 ①余数为正商1 ②余数与除数同号商1 ③够减商1 ④余数大于除数商1 3. 半导体存储器常采用( )。 ①直接存取方式 ②顺序存取方式 ③半顺序存取方式 ④随机存取方式 4. 在中断传送中,总线控制权由( )掌握。 ① CPU ②总线控制器 ③外部设备 ④ DMA 控制器 5. 同步控制方式在实际应用中所表现的主要特点是( )。 ①指令周期长度固定 ②工作周期长度固定 ③总线周期长度固定 ④时钟周期长度固定 二、判断题(每题2分,共10分) 下列说法有的正确,有的错误,请作出正/误判断,并将判断结果填在题后的括号内。 1. 一段微程序解释执行一条机器指令。 ( ) 2. DMA 方式不具有随机性。 ( ) 3. 扩展同步总线允许总线周期长度可变。 ( ) 4. 串行总线适用于CPU 内总线。 ( ) 5. 显示器的缓冲存储器VRAM 用来存放字符的点阵代码。 ( ) 三、简答题(每题5分,共30分) 1. 在系统总线中,什么情况下适宜采用同步控制方式?什么情况下适宜采用异步控制方式? 2. 在程序执行过程中,CPU 何时响应中断请求?何时响应DMA 请求? 3. 什么是中断方式?它的主要特点是什么? 4. 存储器直接寻址方式和寄存器直接寻址方式有何不同? 5. 组合逻辑控制方式有什么优缺点?主要适用于哪些场合? 6. 磁带采取何种存取方式?磁盘又采取何种存取方式? 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … ……………………

计算机组成原理选择题200道

【选择题200道】 1. 计算机系统中的存贮器系统是指__D____。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 2. 某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小 数为___ B __ 。 -32 -31 -32 -31 A+( 1 - 2 ) B + (1 - 2 ) C 2 D 2 3. 算术/ 逻辑运算单元74181ALU可完成_C ________ 。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4. 存储单元是指_B ______ 。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5. 相联存贮器是按—C―行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方 式 D 地址方式与堆栈方式 6. 变址寻址方式中,操作数的有效地址等于_C _____ 。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7. 以下叙述中正确描述的句子是:__AD ___ 。 A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作 & 计算机使用总线结构的主要优点是便于实现积木化,同时_C_____ 。

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理复习题及答案

一、填空、选择或判断 1.多核处理机是空间并行计算机,它有___多__个CPU。 2.计算机的发展大致经历了五代变化,其中第四代是1972-1990 年的_大规模和超大规 模集成电路______计算机为代表。 3.计算机从第三代起,与IC电路集成度技术的发展密切相关。描述这种关系的是_摩尔__ 定律。 4.1971年,英特尔公司开发出世界上第一片4位微处理器__Intel 4004_____。首次将CPU 的所有元件都放入同一块芯片之内。 5.1978年,英特尔公司开发的___Intel 8086_______是世界上第1片通用16位微处理器, 可寻址存储器是_1MB______。 6.至今为止,计算机中的所有信息仍以二进制方式表示的理由是__物理器件性能所致___。 7.冯。诺依曼计算机工作方式的基本特点是__按地址访问并顺序执行指令_____。 8.20世纪50年代,为了发挥__硬件设备_____的效率,提出了_多道程序___技术,从而发 展了操作系统,通过它对__硬软资源______进行管理和调度。 9.计算机硬件能直接执行的只有__机器语言_________ 。 10.完整的计算机系统应包括__配套的硬件设备和软件系统______。 11.计算机的硬件是有形的电子器件构成,它包括_运算器__、_控制器_、_存储器__、_适配器_、_系统总线__、__外部设备__。 12.当前的中央处理机包括__运算器_____、_控制器_____、__存储器_____。 13.计算机的软件通常分为__系统软件_______和___应用软件_____两大类。 14.用来管理计算机系统的资源并调度用户的作业程序的软件称为__操作系统_____,负责将_高级____-语言的源程序翻译成目标程序的软件称为___编译系统____。 15.计算机系统中的存储器分为__内存____和__外存______。在CPU执行程序时,必须将 指令存放在__内存______中。 16.计算机存储器的最小单位为___位______。1KB容量的存储器能够存储___8192_____个这样的基本单位。 17.在计算机系统中,多个系统部件之间信息传送的公共通路称为_总线_____。就其所传送的信息的性质而言,在公共通路上传送的信息包括__数据__、__地址__和__控制____信息。 18.指令周期由__取指____ 周期和__执行_____周期组成。 19.下列数中最小的数为_______. A (101001)2 B(52)8 C (101001)BCD D(233)16 20.下列数中最大的数为 A ()2 B(227)8 C (96)16D(143)5 21.在机器数中,________的零的表示形式是唯一的。 A原码B补码C反码D原码和反码 22.某机字长32位,采用定点小数表示,符号位为1位,尾数为31位,则可表示的最大正 小数为___C____,最小负小数为___D_____ A +(231-1) B -(1-2-32) C +(1-2-31)≈+1 D-(1-2-31)≈-1 23.某机字长32位,采用定点整数表示,符号位为1位,尾数为31位,则可表示的最大正 整数为___A____,最小负整数为___D_____ A +(231-1) B -(1-2-32)

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成原理选择题

A.-127 ~127;B.-128 ~+128;C.-128 ~+127;D.-128 ~+128。 2.设机器数采用补码形式(含1位符号位),若寄存器内容为9BH,则对应的十进制数为______。A.-27;B.-97;C.-101;D.155。答案: 3.设寄存器内容为80H,若它对应的真值是–127,则该机器数是______。 A.原码;B.补码;C.反码;D.移码。答案: 4.若9BH表示移码(含1位符号位).其对应的十进制数是______。 A.27;B.-27;C.-101;D.101。答案: 5.当定点运算发生溢出时,应______ 。 A.向左规格化;B.向右规格化;C.发出出错信息;D.舍入处理。答案: 6.设寄存器内容为10000000,若它等于-0,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 7.设寄存器内容为11111111,若它等于+127,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 8.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同;B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同;D.阶符与数符不同。答案: 9.浮点数的表示范围和精度取决于______ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。答案: 10. 在定点补码运算器中,若采用双符号位,当______时表示结果溢出。 A.双符号相同B.双符号不同C.两个正数相加D.两个负数相加答案:

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理自测题库 B10

本科生期末试卷(十) 一、选择题(每小题2分,共30分) 1 某机字长64位,1位符号位,63位表示尾数,若用定点整数表示,则最大正整数位( A )。 A +(263-1) B +(264-1) C -(263-1) D -(264-1) 2 请从下面浮点运算器中的描述中选出两个描述正确的句子(A C )。 A 浮点运算器可用两个松散连接的定点运算部件一阶码和尾数部件来实现。 B 阶码部件可实现加,减,乘,除四种运算。 C 阶码部件只进行阶码相加,相减和比较操作。 D 尾数部件只进行乘法和除法运算。 3 存储单元是指( B )。 A 存放1个二进制信息位的存储元 B 存放1个机器字的所有存储元集合 C 存放1个字节的所有存储元集合 D 存放2个字节的所有存储元集合 4 某机字长32位,存储容量1MB,若按字编址,它的寻址范围是( D )。 A 0—1M B 0—512KB C 0—56K D 0—256KB 5 用于对某个寄存器中操作数的寻址方式为( C )。 A 直接 B 间接 C 寄存器直接 D 寄存器间接 6 程序控制类的指令功能是( D)。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU和I/O设备之间的数据传送 D 改变程序执行的顺序 7 指令周期是指( C)。 A CPU从主存取出一条指令的时间 B CPU执行一条指令的时间 C CPU从主存取出一条指令加上执行一条指令的时间 D 时钟周期时间 8 描述当代流行总线结构中基本概念不正确的句子是(AC )。 A 当代流行的总线不是标准总线 B 当代总线结构中,CPU和它私有的cache一起作为一个模块与总线相连 C 系统中允许有一个这样的CPU模块 9 CRT的颜色为256色,则刷新存储器每个单元的字长是( C )。 A 256位 B 16位 C 8位 D 7位

计算机组成原理练习题

填空题 1、存储器容量为256K,若首地址为00000H,则末地址为。 2、若某奇偶校验码编码为010000100,则采用的校验方案是。 3、DRAM存储器行、列地址要分两次打入,为了实现行、列地址的区分,需要给存储芯片提供地址选通信号和。 4、存储器容量的扩展有、和三种方式。 5、假设某计算机的存储系统由Cache和主存组成,某程序执行过程中访存1000次,其中访问Cache缺失(未命中)50次,则Cache的命中率是。 6、操作数有效地址出现在地址码位置的寻址方式称为寻址。 7、任何指令周期的第一步必定是周期。 8、当产生中断请求时,用程序方式有选择地封锁部分中断,而允许其余部分中断仍能得到响应,称为。 9、通常根据流水线使用级别的不同,可把流水线分成部件级、处理机级和系统级流水线,指令处理流水线属于级。 10、从计算机系统结构的发展和演变看,近代计算机采用以作为全机中心的系统结构。 11、十进制数-54表示成补码形式为(用1个符号位,7个数值位表示)。 12、磁表面存储器是以作为记录信息的载体,通过对信息进行记录和读取。 13、存储器间接寻址方式指令执行过程中,除取指外CPU还需要访问内存次才能获得操作数。 14、组成32M×8位的存储器,需要1M×4位的存储芯片片。 15、微指令格式分为型微指令和型微指令,其中,前者的并行操作能力比后者强。 16、在CPU中,存放后继指令地址的寄存器是。 17、若X的原码为01000011,其补码为,其移码为。 18、总线的仲裁方式有和两种。 19、引起中断的设备或事件称为。 20、虚拟存储器指的是__________层次,它给用户提供了一个比实际__________空间大得多的__________空间. 21、运算器的两个主要功能是:__________,__________。 22、计算机硬件由_______、_______、存储器、输入设备和输出设备五大部件组成。 23、奇偶校验法只能发现_______数个错,不能检查无错或_______数个错。 24、八进制数37.4Q转换成二进制数为__________。 25、数x的真值-0.1011B,其原码表示为____________。 26、条件转移、无条件转移、转子程序、返主程序、中断返回指令都属于__________类指令,这类指令在指令格式中所表示的地址不是__________的地址,而是__________的地址。27、直接内存访问(DMA)方式中,DMA控制器从CPU完全接管对__________的控制,数据交换不经过CPU,而直接在内存和__________之间进行。 28、RISC的中文含义是__________,CISC的中文含义是__________。 对于n+1位(包含一位符号位)的补码纯小数来说,它能表示的最小数据是。 29、、控制器产生控制信号的方法有与,其中需要有控制存储器支持的是。

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

相关文档
相关文档 最新文档