文档库 最新最全的文档下载
当前位置:文档库 › 全国2008年7月电子技术基础(一)试卷

全国2008年7月电子技术基础(一)试卷

全国2008年7月电子技术基础(一)试卷
全国2008年7月电子技术基础(一)试卷

1

做试题,没答案?上自考365,网校名师为你详细解答!

全国2008年7月电子技术基础(一)试卷

课程代码:02234

一、单项选择题(本大题共15小题,每小题2分,共30分)

在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。

1.P 型半导体中多数载流子是( )

A .自由电子

B .空穴

C .负离子

D .正离子

2. 理想二极管构成的电路如题2图所示,则输出电压U0为( )

A .-10V

B .-6V

C .-4V

D .

+4V

3. 半导体三极管处在放大状态时是( )

A .C 结反偏e 结反偏

B .

C 结正偏e 结正偏

C .C 结正偏e 结反偏

D .C 结反偏e 结正偏

4. 测得某电路中半导体三极管各管脚的电位如题4图所示,则该管是处在( )

A .放大状态

B .饱和状态

C .截止状态

D .不确定状态

2

5. 下述基本放大电路相比较,输入电阻高输出电阻低的是( )

A .共射极放大电路

B .共基极放大电路

C .共集电极放大电路

D .共源极放大电路

6.场效应管与半导体三极管比较,场效应管是( )

A .输入电阻低,输出电阻低

B .电压控制器件

C .输入电阻低,热稳定性能好

D .输入电阻低,输入电流小

7. 为使输入电阻提高,在放大电路中应引入交流( )

A .电压负反馈

B .电流负反馈

C .并联负反馈

D .串联负反馈

8. 下述放大电路中低频特性最好的是( )

A .阻容耦合电路

B .变压器耦合电路

C .直接耦合电路

D .OTL 电路

9. 在双端输入的差动放大电路中,知ui1=10mv,ui2=-6mv ,则共模输入信号为(

A .4mv

B .8mv

C .10mv

D .2mv

10. 正弦波振荡电路起振时的幅度条件是( )

A .|?A ?F |<1

B .|?A ?

F |=1

C .|?A ?

F |>1 D .|?A ?

F |=0

11. 逻辑函数F=AB+A C 的最小项表达式为( )

A .F (ABC )=∑m(1.3.6.7)

B .F(ABC)=∑m(2.3.6.7)

C .F(ABC)=∑m(1.2.6.7)

D .F(ABC)=∑m(1.3.5.6)

3

12. 逻辑函数F (ABC )=A+A C+B C 的最简与或表达式为( )

A .F=A+C

B .F=A+B

C .F=A +B

D .F=A +C

13. CMOS 型门电路组成的逻辑电路如题13图所示,其输出函数F 与A.B.C 间的逻辑关系式为( )

A .F=AB+C

B .F=A B +C

C .F=0

D .

F=1

14. TTL 型门电路组成的逻辑电路如题14图所示,其输出函数F 的逻辑关系式为( )

A .F=A+

B B .F=B A

C .F=0

D .

F=1

15. 要使边沿触发型JK 触发器具有Qn+1=n

Q 的功能,其输入信号必须满足( )

A .J=K=0

B .J=K=1

C .J=1,K=0

D .J=0,K=1

二、填空题(本大题共10小题,每空1分,共10分)

请在每小题的空格中填上正确答案。错填、不填均无分。

16.二极管的反向电流IRM越小,说明二极管的_________性能越好。

17.在共射、共基、共集三种组态的基本放大电路中,输入电阻最低的是_________放大电路。

18.交流负反馈有4种组态,若要求输入电阻高,输出电阻高,在放大电路中应引入_________负反馈组态。

19.要稳定静态工作点,在放大电路中应引入_________负反馈。

20.单相桥式整流电容滤波电路接正常负载,知变压器次级电压有效值U2=15V,则输出电压平均值U0为_________V。

21.十进制数(36.9)10的8421BCD数是(_________)8421BCD。

22.已知函数F的最小项表达式为F(ABC)=∑m(1.3.4.5),其最简与非表达式为F=_________。

23.由五级触发器组成的计数器,其最大进制(模)数N=_________。

24.集成双向移位寄存器74LS194应用电路如题24图,设电路初始状态为Q0Q1Q2Q3=1000,经过两个CP脉冲作用后,电路状态为Q0Q1Q2Q3=_________。

25.555定时器组成的施密特触发器电路如题25图所示,其回差电压Δ?=_________V。

4

5

三、分析计算题(本大题共6小题,每小题5分,共30分)

26.指出题26图(a )和(b )两个电路,对输入的正弦信号能否有电压放大作用,并说明理由。

27.题27图所示放大电路,知UCC=12V ,UBE=0.7V ,β=75,rbe=1.5K Ω,RB1=50K Ω,RB2=25 K Ω,Rc=3K Ω,RE=2K Ω,RL=6K Ω,C1,C2,CE 对信号的影响可忽略。

(1)估算静态值ICQ ,UCEQ ;

(2)计算电压放大倍数?

A U=?

?

i o U U ;

(3)写出输入电阻ri 的表达式。

6

28.差动放大电路如题28图所示,已知Rc=10K Ω,RL=20K Ω,RB=2K Ω,RE=10K Ω,rbe=2K Ω,β=60,试:

(1)指出电路的输入输出方式;

(2)计算差模电压放大倍数Ad;

(3)计算差模输入电阻rid 。

29.理想运放构成的电路如题29图所示,试:

(1)指出集成运放构成何种运算电路;

(2)若R1=10K Ω,RF=30K Ω,ui=0.5V ,计算输出电压u0;

(3)求共模输入电压uic 。

30.功率放大电路如题30图所示,已知V1和V2的饱和压降 ces 均为1V ,RL=8Ω。

(1)指出R2,VD1,VD2的作用;

(2)计算电路的最大输出功率Pom 。

7

31.试分析题31图所示逻辑电路的进制数,要求:

(1)列写出各触发器的驱动方程;

(2)填写电路状态表,题31表(设电路初始状态为Q2Q1=00);

(3)说明电路的进制数N 值。

四、设计画波形题(本大题共6小题,每小题5分,共30分)

32.试用一个集成运放设计一个运算电路满足u0=-2ui1-3ui2的关系,且要求最小输入电阻为20K Ω。

(1)画出设计的电路图;

8

(2)计算所需要的各电阻值。

33.理想运放构成的电路如题33图(a )所示。

(1)指出该电路是何种运算电路;

(2)知ui 波形如题33图(b )所示,试对应ui 波形画出u0波形。

34.题34图(a )所示电路,知双向稳压管V1的稳定电压±Uz=±6V 。要求:

(1)求门限电压UT;

(2)知ui 波形如题34图(b ),对应ui 波形画出u0波形。

35.组合逻辑电路及A 、B 的波形如题35图(a )和(b )所示,要求:

(1)写出F 与A 、B 间的逻辑函数式;

(2)画出F 端与A 、B 相对应的逻辑波形。

9

36.用最少的与非门电路设计一个三变量判定电路,当A 、B 、C 三个输入变量中有偶数个“1”时,输出F 为“1”,否则输出F 为“0”,输入变量允许有反变量,要求:

(1)列出真值表;

(2)写出F 的逻辑函数式;

(3)画出逻辑电路图。

37.用集成四位二进制(十六进制)加法计数器T214(74LS161)设计一个四进制计数器,要求:

(1)用进位输出端C0的信号译码,用置数法设计电路;

(2)确定反馈置数状态并画出逻辑电路图。

T214(74LS161)的逻辑符号及功能表如题37图和题37表所示。

10

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟电子技术基础试卷及答案

模拟电子技术基础试卷及答案 一、填空(18分) 1.二极管最主要的特性是 单向导电性 。 2.如果变压器二次(即副边)电压的有效值为10V ,桥式整流后(不滤波)的输出电压为 9 V ,经过电容滤波后为 12 V ,二极管所承受的最大反向电压为 14 V 。 3.差分放大电路,若两个输入信号u I1u I2,则输出电压,u O 0 ;若u I1=100V ,u I 2 =80V 则差模输入电压u Id = 20 V ;共模输入电压u Ic = 90 V 。 4.在信号处理电路中,当有用信号频率低于10 Hz 时,可选用 低通 滤波器;有用信号频率高于10 kHz 时,可选用 高通 滤波器;希望抑制50 Hz 的交流电源干扰时,可选用 带阻 滤波器;有用信号频率为某一固定频率,可选用 带通 滤波器。 5.若三级放大电路中A u 1A u 230dB ,A u 320dB ,则其总电压增益为 80 dB ,折合为 104 倍。 6.乙类功率放大电路中,功放晶体管静态电流I CQ 0 、静态时的电源功耗P DC = 0 。这类功放的能量转换效率在理想情况下,可达到 78.5% ,但这种功放有 交越 失真。 7.集成三端稳压器CW7915的输出电压为 15 V 。 二、选择正确答案填空(20分) 1.在某放大电路中,测的三极管三个电极的静态电位分别为0 V ,-10 V ,-9.3 V ,则这只三极管是( A )。 A .NPN 型硅管 B.NPN 型锗管 C.PNP 型硅管 D.PNP 型锗管 2.某场效应管的转移特性如图所示,该管为( D )。 A .P 沟道增强型MOS 管 B 、P 沟道结型场效应管 C 、N 沟道增强型MOS 管 D 、N 沟道耗尽型MOS 管 3.通用型集成运放的输入级采用差动放大电路,这是因为它的( C )。 A .输入电阻高 B.输出电阻低 C.共模抑制比大 D.电压放大倍数大 4.在图示电路中,R i 为其输入电阻,R S 为常数,为使下限频率f L 降低,应( D )。 A . 减小C ,减小R i B. 减小C ,增大R i C. 增大C ,减小 R i D. 增大C ,增大 R i 5.如图所示复合管,已知V 1的1 = 30,V 2的2 = 50,则复合后的约为( A )。 A .1500 B.80 C.50 D.30 6.RC 桥式正弦波振荡电路由两部分电路组成,即RC 串并联选频网络和( D )。 A. 基本共射放大电路 B.基本共集放大电路 C.反相比例运算电路 D.同相比例运算电路 7.已知某电路输入电压和输出电压的波形如图所示,该电路可能是( A )。 A.积分运算电路 B.微分运算电路 C.过零比较器 D.滞回比较器 0 i D /mA -4 u GS /V 5 + u O _ u s R B R s +V CC V C + R C R i O t u I t u o 4题图 7题图 V 2 V 1

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术基础试卷及答案

《电子技术基础》第一章半导体二极管试卷 一、单项选择题 1.测量二极管(小功率)的管脚极性时,万用表的电阻档应选( )。(2 分) A.R×1 B.R×10 C.R×100或R×1k D.R×10k 2.测量二极管反向电阻时,若用两手将两管脚捏紧,其电阻值会( )。(2 分) A.变大 B.先变大后变小 C.变小 D.不变 3.二极管正反向电阻相差( )。(2 分) A.越小越好 B.越大越好 C.无差别最好 D.无要求 4.用万用表R×100Ω挡来测试二极管,其中( )说明管子是好的。(2 分) A.正、反向电阻都为零 B.正、反向电阻都为无穷大 C.正向电阻为几百欧,反向电阻为几百千欧 D.反向电阻为几百欧,正向电阻为几百欧 5.变容二极管工作时,应加( )。(2 分) A.反向电压 B.正向电压 C.正向电压或反向电压 6.把电动势为1.5V的干电池的正极直接接到一个硅二极管的正极,负极直接接到硅二极管的负极,则该管( )。(2 分) A.基本正常 B.击穿 C.烧坏 D.电流为零 7.在电路中测得某二极管正负极电位分别为3V与10V,判断二极管应是( )。(2 分) A.正偏 B.反偏 C.零偏

8.2AP9表示( )。(2 分) A.N型材料整流管 B.N型材料稳压管 C.N型材料普通管 D.N型材料开关管 9.变容二极管常用在( )电路中。(2 分) A.高频 B.低频 C.直流 10.用于整流的二极管型号是( )。(2 分) A.2AP9 B.2CW14C C.2CZ52B D.2CK84A 二、判断题 11.( )发光二极管可以接收可见光线。(2 分) 12.( ) 二极管加反向电压时一定截止。(2 分) 13.( )当反向电压小于反向击穿电压时,二极管的反向电流很小;当反向电压大于反向击穿电压后,其反向电流迅速增加。(2 分) 14.( )PN结正向偏置时电阻小,反向偏置时电阻大。(2 分) 15.( )有两个电极的元件都叫二极管。(2 分) 16.( )二极管具有单向导电性。(2 分) 17.( )光电二极管可以将光信号转化成为电信号。(2 分) 18.( )PN结是一种特殊的物质,一般情况下不能导电。(2 分) 19.( )二极管是线性元件。(2 分) 20.( )二极管加正向电压时一定导通。(2 分) 三、填空题 21. 硅二极管的死区电压为V,锗二极管的为V;导通管压降,硅管为V,锗管为V。(4 分) 22.PN结正偏时,P区接电源的极,N区接电源的极;PN结反偏时,P区接电 源的极,N区接电源的极。(4 分)

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术基础与技能试题及答案

电子技术基础与技能试题 使用教材:电子技术基础与技能试题围:全册 :高等教育版次:第2版 学校名称:礼县职业中等专业学校 一、填空题 1、二极管最主要的电特性是,稳压二极管在使用时,稳压二极管与负载并联,稳压二极管与输入电源之间必须加入一个。 2、三极管的三个工作区域是,,。集成运算放大器是一种采用耦合方式的放大器。 3、已知某两级放大电路中第一、第二级的对数增益分别为60dB和20dB, 则该放大电路总的对数增益为dB,总的电压放大倍数为。 4、在甲类、乙类和甲乙类功率放大电路中,效率最低的电路为,为了消除交越失真常采用电路。 5、理想集成运算放大器的理想化条件是Aud= 、R id= 、K CMR= 、R O = 6、三端集成稳压器CW7805输出电压V,CW7915输出电压V。 7、74LS系列的TTL与非门电路常使用的电源电压为V,一般要求其输出高电平电压大于等于V,输出低电平电压小于等于V,CMOS集成门电路的电源电压在V 围均可正常工作,建议使用V电源供电。 8、晶体三极管截止时相当于开关,而饱和时相当于开关。 9、异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 10、已知某触发器的真值表如下(A、B为触发器的输入信号)所示,其输出信号的逻辑表达式为。

真值表 A B Q n+1说明 0 0 Q n保持 0 1 0 置0 1 0 1 置1 1 1 Q n翻转 二、单选题 1、下列使用指针式万用表判断二极管极性的正确步骤是()。 a.用万用表红黑表笔任意测量二极管两引脚间的电阻值。 b.将万用表的电阻挡旋钮置于R×100或R×1k挡,调零。 c.以阻值较小的一次测量为准,黑表笔所接的二极管一端为正极,红表笔所接的二极管一端为负极。 d.交换万用表表笔再测一次。如果二极管是好的,两次测量的结果必定一大一小。 A.abcd B. badc C. cdab D. bcda 2、对于桥式整流电路,正确的接法是()。 3、某NPN型三极管的输出特性曲线如图1所示,当VCE=6V,其电流放大系数β为()

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电工电子技术基础考试试卷答案

《电工电子技术基础》 一、填空题:(每题3分,共12题,合计 33 分) 1、用国家统一规定的图形符号画成的电路模型图称为,它只反映电路中电气方面相互联系的实际情况,便于对电路进行和。 2、在实际电路中,负载电阻往往不只一个,而且需要按照一定的连接方式把它们连接起来,最基本的连接方式是、、。 3、在直流电路的分析、计算中,基尔霍夫电流第一定律又称定律,它的数学表达式为。假若注入节点A的电流为5A和-6A,则流出节点的电流I 出= A 。 4、电路中常用的四个主要的物理量分别是、、、。 它们的代表符号分别是、、和; 5、在实际应用中,按电路结构的不同分为电路和电路。凡是能运用电阻串联或电阻并联的特点进行简化,然后运用_________求解的电路为_____;否则,就是复杂电路。 6、描述磁场的四个主要物理量是:___、_____、_______和_______;它们的代表符号分别是____、_____、______和____; 7、电磁力F的大小与导体中 ____的大小成正比,与导体在磁场中的有效 ________及导体所在位置的磁感应强度B成正比,即表达式为:________ ,其单位为:______ 。 8、凡大小和方向随时间做周期性变化的电流、电压和电动势交流电压、交流电流和交流电动势,统称交流电。而随时间按正弦规律变化的交流电称为正弦交流电。 9、______________、_______________和__________是表征正弦交流电的三个重要物理量,通常把它们称为正弦交流电的三要素。 10、已知一正弦交流电压为u=2202sin(314t+45°)V,该电压最大值为__________ V,角频率为__________ rad/s,初相位为________、频率是______ Hz周期是_______ s。 11、我国生产和生活所用交流电(即市电)电压为 _ V。其有效值为 _ V,最大值为____ V,工作频率f=____ __Hz,周期为T=_______s,其角速度ω=______rad/s,在1秒钟内电流的方向变化是________次。 二、判断下列说法的正确与错误:正确的打(√),错误的打(×),每小题1分,共 20 分 1、电路处于开路状态时,电路中既没有电流,也没有电压。(_) 2、理想的电压源和理想的电流源是不能进行等效变换。(_) 3、对于一个电源来说,在外部不接负载时,电源两端的电压大小等于电源电动势的大小,且 方向相同。(_) 4、在复杂电路中,各支路中元器件是串联的,流过它们的电流是相等的。(_) 5、用一个恒定的电动势E与内阻r串联表示的电源称为电压源。(_) 6、理想电流源输出恒定的电流,其输出端电压由内电阻决定。(_) 7、将一根条形磁铁截去一段仍为条形磁铁,它仍然具有两个磁极. (_ ) 8、磁场强度的大小只与电流的大小及导线的形状有关,与磁场媒介质的磁导率无关(_)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

《电工电子技术基础》 试卷A及参考答案

华中师范大学成人专科学年第二学期 《电工电子技术基础》试卷(A卷) 考试时间:90分钟闭卷任课老师: 班级:学号:姓名:成绩: 一、填空:(每空2分,共40分) 1、基尔霍夫电流定律:I= A。; 2、欧姆定律:买了一个日光灯,功率P=40W,电压220V,I= A . 因为它的功率 因数只有0.5,应该在它的两端并联可以其提高功率因数。 3、电路如图,其戴维南等效电路的参数: U AB= V ;R AB= Ω; 4、单相交流电路: 已知:0 2202sin(31460) u t V =+;则有效值U= V;频率是 HZ。 5、对称三相四线制电路中,相电压是220V,线电压为: V; 6、三相交流异步电动机的转差率S= 。 7、三相交流异步电动机定子旋转磁场的转速是: 8、三极管的放大条件是: 9、判断R F的反馈类型:。 10、组合电路如图,输出F= 。 11、三端集成稳压器W7809能够输出 V电压。 12、三相四线制电路中,则中线的作用为。 13、能实现F=0 的逻辑门是。 14、可以实现Q Q n= +1的电路是:。 装 订 线

15、安全电压是: V。 16、热继电器的热元件应该连接到。 17、变压器铁心有:两种损耗。 二、简答题:(每题4分,共20分) 1、交流电路的有功功率、无功功率及视在功率的表达式?其中cos 被称为什么?答: 2、三相异步电动机的调速方法有哪些? 答: 3、画出接触器的线圈符号及触头的符号。 答: 4、单相桥式整流电路,已知变压器副边电压U2 =20V,则输出平均电压U O =?若一个二极管开路,则输出平均电压U O =? 答: 5、变压器有几个功能?写出表达式。 答:

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

电子技术基础与技能试题及答案

使用教材:电子技术基础与技能试题范围:全册出版社:高等教育出版社版次:第2版 学校名称:礼县职业中等专业学校 一、填空题 1、二极管最主要的电特性是,稳压二极管在使用时,稳压二极管与负载并联,稳压二极管与输入电源之间必须加入一个。 2、三极管的三个工作区域是,,。集成运算放大器是一种采用耦合方式的放大器。 3、已知某两级放大电路中第一、第二级的对数增益分别为60dB和20dB, 则该放大电路总的对数增益为 dB,总的电压放大倍数为。 4、在甲类、乙类和甲乙类功率放大电路中,效率最低的电路为,为了消除交越失真常采用电路。 5、理想集成运算放大器的理想化条件是Aud= 、R id= 、K CMR= 、R O = 6、三端集成稳压器CW7805输出电压 V,CW7915输出电压 V。 7、74LS系列的TTL与非门电路中通常使用的电源电压为 V,一般要求其输出高电平电压大于等于 V,输出低电平电压小于等于 V, CMOS集成门电路的电源电压在 V 范围内均可正常工作,建议使用 V电源供电。 8、晶体三极管截止时相当于开关,而饱和时相当于开关。 9、异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 10、已知某触发器的真值表如下(A、B为触发器的输入信号)所示,其输出信号的逻辑表达式为。

二、单选题 1、下列使用指针式万用表判断二极管极性的正确步骤是()。 a.用万用表红黑表笔任意测量二极管两引脚间的电阻值。 b.将万用表的电阻挡旋钮置于R×100或R×1k挡,调零。 c.以阻值较小的一次测量为准,黑表笔所接的二极管一端为正极,红表笔所接的二极管一端为负极。 d.交换万用表表笔再测一次。如果二极管是好的,两次测量的结果必定一大一小。 A.abcd B. badc C. cdab D. bcda 2、对于桥式整流电路,正确的接法是()。 3、某NPN型三极管的输出特性曲线如图1所示,当VCE=6V,其电流放大系数β为() A.=100 B.=50 C.=150 图1

电子技术基础试卷及答案

模拟电子技术基础试卷及参考答案 试卷五(本科)及其参考答案 试卷五 一、填空和选择题(每小题2分共16分) 1.半导体二极管的重要特性之一是。 (A)温度稳定性(B)单向导电性(C)放大作用(D)滤波特性2.在由NPN型BJT组成的单管共发射极放大电路中,如静态工作点过高,容易产生失真。 (A)截止失真(B)饱和v失真(C)双向失真(D)线性失真3.多级放大电路与组成它的任何一个单级放大电路相比,通频带。 (A)变宽(B)变窄(C)不变(D)与单级放大电路无关4.电流源电路的特点是输出电流恒定,交流等效电阻。 (A)等于零(B)比直流等效电阻小 (C)等于直流等效电阻(D)远远大于直流等效电阻 5.放大电路产生零点漂移的主要原因是。 (A)采用了直接耦合方式(B)采用了阻容耦合方式 (C)采用了正、负双电源供电(D)增益太大 6.二阶压控电压源低通有源滤波器通带外幅频响应曲线的斜率为。 (A)20dB/十倍频程(B)-20dB/十倍频程 (C)40dB十倍频程(D)-40dB/十倍频程 7.当有用信号的频率低于100Hz时,应采用滤波电路。 (A)低通(B)高通(C)带通(D)带阻 8.在图1-8所示电路中,稳压管D Z的稳定电压V Z = 6V,最小稳定电流I zmin = 5mA,输入电压V I = 12V,电阻R=100Ω,在稳定条件下I L的数值最大不应超过。 (A)40mA (B)45mA (C)55mA (D)60mA 图1-8 二、(14分) 分压式射极置共射放大电路如图2所示,已知BJT的β =100,V BE = 0.7V。电路处于正常放大状态。 (1)估算电路的静态工作点; (2)画出简化的H参数小信号等效电路; (3)求放大电路通带内的电压增益、输入电阻和输出电阻。 图2 三、(12分) 放大电路如图3 a、b、c、d所示。

相关文档
相关文档 最新文档