文档库 最新最全的文档下载
当前位置:文档库 › 北航17系微机原理实验报告三

北航17系微机原理实验报告三

北航17系微机原理实验报告三
北航17系微机原理实验报告三

微机原理实验报告实验三GPIO口的控制

一、实验目的

1.了解GPIO口有哪些工作模式,这些模式分别代表着的含义。

2.掌握ARM7TDMI的C语言编程的格式和用法,在原有的程序的基础上修改功能。

3.完成GPIO口控制实验。

二、实验设备

PC 计算机,Windows XP 操作系统和IAR 开发环境。

三、实验内容

1、实现开发板上三个LED灯的流水闪烁。

2、实现开发板上按键控制三个对应LED灯的亮灭。

四、实验步骤

1、运行IAR Embedded Workbench IDE并打开LED文件夹下的Project.eww的IAR工程。

2. 在左侧工程文件栏中最上方的Project-Debug处右键,对工程进行设置。

3. 在弹出相应的设置窗口中,进行如下选择。

①本实验是在基于STR710的环境下实现的,因此在General Options里应选择相应的设备名称②本实验是基于ARM7的STR710的开发板,程序编译完成后需要将生成的文件通过J-LINK下载去进一步调试,因此,Debugger-Setup处的Driver应该选择J-Link,并在Download选项卡进行配置。

4. 完成以上设置之后,就开始进行程序的编译并下载,首先仍在Project-Debug处右键,选择Rebuild All。

5. 编译完成后,依次点击Make和Download and debug键,将程序下载到开发板上。

6. 点击调试工具栏中的Go即可开始连续运行程序,在程序中间可设置断点或者使用观察窗口对变量进行观察。设置断点并点击连续运行,程序运行到断点处之后,将temp1变量

添加到变量观察窗口,点击Step Out键进行调试和观察。

五、实验程序及结果

1.LED实现流水灯

实验代码

#include "71x_gpio.h"

#include "71x_lib.h"

#include "LED.h"

#include "Key.h"

void delay(void)

{

inti,j;

for(i = 0;i<1000;i++)

{

for(j = 0;j <1000;j++);

}

} //循环延迟

int main()

{

int temp1;

RCCU_PCLK1Config (RCCU_DEFAULT); //设置低速APB1时钟,锁存时钟

不做分频

/* Configure PCLK2 = RCLK / 1 */

RCCU_PCLK2Config (RCCU_DEFAULT); //设置高速APB2时钟,锁存时钟不做分频

/* Configure MCLK clock for the CPU, RCCU_DEFAULT = RCLK /1 */

RCCU_MCLKConfig (RCCU_DEFAULT); //设置主系统时钟,不做分频/* Configure the PLL1 ( * 12 , / 4 ) */

RCCU_PLL1Config (RCCU_PLL1_Mul_12, RCCU_Div_4); //配置PLL的倍乘和分除因子

while(RCCU_FlagStatus(RCCU_PLL1_LOCK) == RESET)

{

/* Wait PLL to lock */

} //等待PLL启动完成

/* Select PLL1_Output as RCLK clock */

RCCU_RCLKSourceConfig (RCCU_PLL1_Output) ; //选择外部PLL1为时钟源APB_ClockConfig (APB2, ENABLE, GPIO2_Periph); //APB2使能并设置GPIO2口

LED_Init(); //LED初始化

/*while(1)//正向流水

{

for(temp1 = 0; temp1 <3; temp1++)

{

LED_Set(temp1, LED_ON);

delay();

LED_Set(temp1, LED_OFF);

delay();

}

}*/

while(1)//反向流水

{

for(temp1 = 2; temp1 >-1; temp1--)

{

LED_Set(temp1, LED_ON);

delay();

LED_Set(temp1, LED_OFF);

delay();

}

}

/*while(1)//全亮全灭

{

LED_Set(1, LED_ON);

LED_Set(2, LED_ON);

LED_Set(0, LED_ON);

delay();

LED_Set(0, LED_OFF);

LED_Set(1, LED_OFF);

LED_Set(2, LED_OFF);

delay();

}*/

}

运行结果

LED灯实现正向和反向流水灯。

2.按key键实验

实验代码

#include "71x_gpio.h"

#include "71x_lib.h"

#include "LED.h"

#include "Key.h"

void delay(void)

{

inti,j;

for(i = 0;i<1000;i++)

{

for(j = 0;j <1000;j++);

}

}//延迟循环

int main()

{

int temp1;

RCCU_PCLK1Config (RCCU_DEFAULT); //设置低速APB1时钟,锁存时钟不做分频

/* Configure PCLK2 = RCLK / 1 */

RCCU_PCLK2Config (RCCU_DEFAULT); //设置高速APB2时钟,锁存时钟不做分频

/* Configure MCLK clock for the CPU, RCCU_DEFAULT = RCLK /1 */

RCCU_MCLKConfig (RCCU_DEFAULT); //设置主系统时钟,不做分频

/* Configure the PLL1 ( * 12 , / 4 ) */

RCCU_PLL1Config (RCCU_PLL1_Mul_12, RCCU_Div_4) ; //配置PLL倍乘和分除因子

while(RCCU_FlagStatus(RCCU_PLL1_LOCK) == RESET)

{

/* Wait PLL to lock */

} //等待PLL启动完成

/* Select PLL1_Output as RCLK clock */

RCCU_RCLKSourceConfig (RCCU_PLL1_Output) ; //选择外部PLL1为时钟源APB_ClockConfig (APB2, ENABLE, GPIO2_Periph); //APB2使能并设置GPIO2口

Key_Init(); //按键初始化

LED_Init(); //LED初始化

int test=LED_ON;

while(1)

{

int aa;//=(enum LEDOPT)Key_State(1);

if((aa=(enum LEDOPT)Key_State(1))!=0) //当按键K1=1即按下时

{

test=LED_OFF; //循环关闭

}

if((aa=(enum LEDOPT)Key_State(2))!=0) //当按键K2=1即按下时{

test=LED_ON; //流水灯循环打开}

for(temp1 = 0; temp1 < 3; temp1++) //控制LED状态循环

{

LED_Set(temp1, test);

delay();

LED_Set(temp1, LED_OFF);

delay();

}

}

}

运行结果

检测按键1按下后,进行灯的流水,检测按键2按下后,关闭所有灯。

六、总结与感想

通过本次实验,我在一定程度上了解了ARM开发板基本组成电路,并通过熟悉了ARM 芯片特性以及相关软件使用,并会用软件编译调试开发板。实验中我在开发板上成功运行了程序,实验结果直接用LED灯显示,我觉得非常直观且有趣。这次的GPIO口调试实验让我学到了很多,也让我对以后的学习有了更深的理解。

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

华科电力电子实验报告

电气11级 《信号与控制综合实验》课程 电力电子部分实验报告 姓名学专业班 同组学号专业班号 同组者 实验评分表

基本实验实验编号名称/内容实验分值评分 PWM信号的生成和PWM控制的实现 DC/DC PWM升压降压变换电路性能的研究 三相桥式相控整流电路性能的研究 DC/AC单相桥式SPWM逆变电路性能的研 究 设计性实验实验名称/内容实验分值评分 实验三十九信号的调制—SPWM信号 的产生与实现 教师评价意见总分 目录

实验二十八 PWM信号的生成和PWM控制的现 (4) 实验二十九 DC/DC—PWM升压、降压变换电路性能研究 (11) 实验三十三相桥式相控整流电路性能研究 (14) 实验三十一DC/AC单相桥式SPWM逆变电路性能研究 (23) 实验三十九信号的调制—SPWM信号的产生与实现 (32) 实验心得 (40)

实验二十八 PWM信号的生成和PWM控制的实现 一.实验目的 分析并验证基于集成PWM控制芯片TL494的PWM控制电路的基本功能,从而掌握PWM 控制芯片的工作原理和外围电路设计方法。 二.实验原理 PWM控制的基本原理:将宽度变化而频率不变的的脉冲作为电力电子变换器电路中的开关管驱动信号,控制开关管的适时、适式的通断;而脉冲宽度的变化与变换器的输出反馈有着密切的联系,当输出变化时,通过输出反馈调节开关管脉冲驱动信号,调节驱动脉冲的宽度,进而改变开关管在每个周期中的导通时间,以此来抵消输出电压的变化,从而满足电能变换的需要。 本实验中采用实验室中已有的PWM控制芯片TL494来完成实验,当然在进行具体的PWM控制之前,我们必须要详细的了解和认识该控制芯片的工作原理和方式,如何输出?输出地双路信号存在怎样的关系?参考信号是如何形成的?反馈信号是如何加载到控制芯片上,同时又是如何以此反馈信号来完成输出反馈的?另外我们也必须了解和认识到对不同开关管进行驱动时,为保证开关管的完全可关断,保证电路的正常可靠工作,死区时间的控制方式。最后我们也要了解为防止电力电子变换器在突然启动时,若开放较宽脉冲而带来的较大冲击电流的影响(和会给整个电路带来许多不利影响),控制芯片要采用“软启动”的方式,这也是本实验中认识的一个重点。 三.实验内容 (1)考察开关频率为20kHz,单路输出时,集成电路的软启动功能。 (2)考察开关频率为20kHz,单路输出时,集成电路的反馈电压Vf对输出脉宽的影响。(3)考察开关频率为20kHz,单路输出时,集成电路的反馈电流If对输出脉宽的影响。(4)考察开关频率为20kHz,单路输出时,集成电路的保护封锁功能 (5)考察开关频率为20kHz,单路输出时,集成电路死区电压对输出脉宽的影响。 四.实验步骤 本实验采用单路输出,将端口13接地。 1.PWM脉宽调节:软启动后,在V1端口施加电压作为反馈信号Vf,给定信号Vg=2.5v,改变V1端口电压大小,即可改变V3,从而改变输出信号的脉宽。V3越大,K越大,C=J+K越大,脉宽越小;反之脉宽越大。记录不同V1下的输出波形并与预计实验结果比较。 2.软启动波形:为防止变换器启动时较大的冲击电流,控制芯片TL494和其他控制芯片相似也采用了软启动。在启动时,为防止变换器冲击电流的出现,驱动脉宽应从零开始增大,逐渐变宽到工作所需宽度。本实验中此功能由脉冲封锁端口电位的逐渐开放来实现,电位又打逐渐变小,便可实现软启动。为对控制芯片的该控制过程有更明确和清晰的认识,我们可以观察芯片启动过程中“启动和保护端口4”(TP3)的电压波形变化并与实验前预测进行比较。

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

中南大学电力电子实验报告

电力电子实验报告 学院名称:信息科学与工程学院 指导老师: 专业班级:电气0802班 学生姓名: 学号:

目录 实验1-1 三相脉冲移相触发电路------------------------3 一、实验目的-------------------------------------------------------3 二、实验内容---------------------------------------------------- --3 三、实验电路原理------------------------------------------------3 四、实验设备------------------------------------------------------4 五、实验步骤和方法---------------------------------------------4 实验1-2 三相桥式整流电路的研究---------------------5 一、实验目的------------------------------------------------------5 二、实验内容------------------------------------------------------5 三、实验设备------------------------------------------------------5 四、实验步骤和方法---------------------------------------------5 五、注意事项------------------------------------------------------9 六、实验原理------------------------------------------------------9 七、实验结果------------------------------------------------------10 实验1-3 三相桥式变流电路反电动势负载的研究-11 一、实验目的------------------------------------------------------11 二、实验内容------------------------------------------------------11 三、实验设备------------------------------------------------------11 四、实验步骤和方法---------------------------------------------11 五、实验结果------------------------------------------------------13 实验1-4 单相交流调压电路----------------------------14 一、实验目的------------------------------------------------------14 二、实验内容------------------------------------------------------14 三、实验设备------------------------------------------------------14 四、实验步骤和方法---------------------------------------------14 五、实验原理------------------------------------------------------16 六、实验结果------------------------------------------------------16 实验心得-----------------------------------------------------18

北航微机原理实验1报告

实验一字符串排序 实验时间:2015.11.21 实验编号:同组同学:无一、实验背景 本实验可以实现对任意长度字符串的进行从小到大的排序并回显到屏幕上。 二、实验原理 本实验的采用的实验原理主要是冒泡法 原理图如下: 三、预习思考题的实验验证分析 预习思考题的实验分析验证(包括程序代码以及程序的执行结果)已经在预习报告中做出回答,在此不再进行赘述。 四、实验过程与结果 1、实验过程:(1)双击计算机桌面上的TPC-2003实验系统配套的软件;

(2)新建一个源程序,在当前运行环境下,选择菜单栏中的“文件”菜单,菜单下拉后选择“新建”,会弹出新建窗口,选择新建表单中的“ASM”,点击“确定”,新建汇编程序; (2)根据题目要求设计程序,并将其输入到软件界面上; (3)保存新建的源程序; (4)点击“汇编”的快捷键,编译调试窗口中显示出汇编结果,程序没有错误; (5)打开命令提示符操作界面,将生成的可执行文件拖入到其中,回车即可运行该程序; (6)对程序是否达到实验要求进行实验验证。 2、实验结果:本实验达到了以下要求 1、利用INT 21H的1号功能,从键盘输入任意长度的字符串,以回车符结束; 2、将输入的字符串存放在数据段中; 3、对输入的字符串按ASCII码从小到大排序(ASCII小者占低地址存放); 4、将排好序的字符串利用INT 21H的9号功能显示在微机屏幕上。 实验程序如下: STACK SEGMENT STACK DB 100 DUP(?) STACK ENDS DATA SEGMENT STR DB 100 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK MAIN PROC MOV AX,DATA MOV DS,AX MOV SI,OFFSET STR

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

微机原理实验四实验报告

实验报告

实验四 8251可编程串行口与PC机通信实验一、实验要求 利用实验箱内的8251A芯片,实现与PC机的通信。 二、实验目的 1.掌握8251A芯片结构和编程方法; 2.了解实现串行通信的硬件环境,数据格式和数据交换协议; 3.了解PC机通信的基本要求。 三、实验原理 (一)8251A芯片工作方式配置: 1. 8个数据位; 2.无奇偶校验位; 3.1个停止位; 4.波特率因子设为16; 5. 波特率设为9600。 (二)8251A主要寄存器说明 图4-1 模式字 图4-2 命令字

CO MMAN D I NSTR UCT ION FO RMA T 图4-3 状态字 (三)8251编程 对8251 的编程就是对8251 的寄存器的操作,下面分别给出8251 的几个寄存器的格式。(1)方式控制字 方式控制字用来指定通信方式及其方式下的数据格式,具体各位的定义如图4-4所示。 图4-4 方式控制字说明 (2)命令控制字 命令控制字用于指定8251 进行某种操作(如发送、接收、内部复位和检测同步字符等)或处于某种工作状态,以便接收或发送数据。图4-5 所示的是8251 命令控制字各位的定义。 图4-5命令控制字说明 (3)状态字 CPU 通过状态字来了解8251 当前的工作状态,以决定下一步的操作,8251 的状态字如 图4-6所示。 图4-6 状态字说明 四、实验电路连接: 1.CS8251接228H,CS8279已固定接至238H; 2.扩展通信口18中的232RXD连8251RXD ,232TXD连8251TXD;

3.计算机的两个RS232通信口,一个连至仿真机通信口,一个连至扩展通信口18(所有通信口均为DB9)。注意:RS232通信口必须在设备断电状态下插拔! 图4-7 连线图 五、实验内容及要求 1. 将例程从PDF文档中导入到WMD86软件编辑环境中,调试通过。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 2.剔除例程中冗余部分,实现对例程的精简和优化。将精简内容与相应理由写入实验报告。 3.将自己学号的后三位数字通过RS232端口的Txd管脚输出。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 4.通过读状态寄存器的方法,获得发送移位寄存器是否为空的信息,实现学号后三位数字的循环发送。将结果截图保存,贴入实验报告。 5.给每帧数据间添加固定的时间间隔,时间间隔为10000个指令周期。将结果截图保存,

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

电力电子实验报告

电力电子实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验一SCR(单向和双向)特性与触发实验 一、实验目的 1、了解晶闸管的基本特性。 2、熟悉晶闸管的触发与吸收电路。 二、实验内容 1、晶闸管的导通与关断条件的验证。 2、晶闸管的触发与吸收电路。 三、实验设备与仪器 1、典型器件及驱动挂箱(DSE01)—DE01单元 2、触发电路挂箱Ⅰ(DST01)—DT02单元 3、触发电路挂箱Ⅰ(DST01)—DT03单元(也可用DG01取代) 4、电源及负载挂箱Ⅰ(DSP01)或“电力电子变换技术挂箱Ⅱa(DSE03)”—DP01单元 5、逆变变压器配件挂箱(DSM08)—电阻负载单元 6、慢扫描双踪示波器、数字万用表等测试仪器 四、实验电路的组成及实验操作 图1-1 晶闸管及其驱动电路

1、晶闸管的导通与关断条件的验证: 晶闸管电路面板布置见图1-1,实验单元提供了一个脉冲变压器作为脉冲隔离及功率驱动,脉冲变压器的二次侧有相同的两组输出,使用时可以任选其一;单元中还提供了一个单向晶闸管和一个双向晶闸管供实验时测试,此外还有一个阻容吸收电路,作为实验附件。打开系统总电源,将系统工作模式设置为“高级应用”。将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;将“DT03”单元的钮子开关“S1”拨向上,用导线连接模拟给定输出端子“K”和信号地与“DE01”单元的晶闸管T1的门极和阴极;取主电源“DSM00”单元的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”,交流主电源输出端“AC15V”和“O”分别接至整流桥输入端“AC1”和“AC2”,整流桥输出接滤波电容(“DC+”、“DC-”端分别接“C1”、“C2”端);“DP01”单元直流主电源输出正端“DC+”接“DSM08”单元R1的一端,R1的另一端接“DE01”单元单向可控硅T1的阳极,T1的阴极接“DP01”单元直流主电源输出负端“DC-”。闭合控制电路及挂箱上的电源开关,调节“DT03”单元的电位器“RP2”使“K”点输出电压为“0V”;闭合主电路,用示波器观测T1两端电压;调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况,记录使T1由截止变为开通的门极电压值,它正比于通入T1门极的电流I G;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测T1的端电压情况。断开主电路、挂箱电源、控制电路。将加在晶闸管和电阻上的主电源换成交流电源,即“AC15V”直接接“R1”一端,T1的阴极直接接“O”;依次闭合控制电路、挂箱电源、主电路。调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测并记录T1的端电压情况。通过实验结果,参考教材相关章节的内容,分析晶闸管的导通与关断条件。实验完毕,依次断开主电路、挂箱电源、控制电路。 2、晶闸管的触发与吸收电路: 将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;用导线连接“DT02”单元输出端子“OUT11”和“OUT12”与“DE01”单元的脉冲变压器输入端“IN1”和“IN2”;取主电源的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”;“DP01”单元的同步信号输出端“A”和“B”连接到锯齿波移相触发电路的同步信号输入端“A”和“B”;将“DE01”的脉冲变压器输出“g1”和“k1”分别接至单向

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

微机原理实验报告

微 机 原 理 实 验 报 告 班级: 指导老师:学号: 姓名:

实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程。 二、实验内容 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。 三、程序框图 图3-1

四、参考程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP(?) DATA ENDS STACK SEGMENT STA DB 20 DUP(?) TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AX,TOP MOV SP,AX

MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL ADDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR [SI],30H SUB BYTE PTR [DI],30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC AD2: MOV AL,[SI] MOV BL,[DI] ADC AL,BL

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

北京理工大学微机原理实验报告

微机原理与接口技术 实验报告 实验内容:汇编语言程序设计实验 组别:12 姓名: 班级: 学号:

一、实验目的 1、熟悉IDE86集成开发环境的使用。 2、通过编程、上机调试,进一步理解汇编语言的设计思路与执行过程。 3、熟悉DOS命令调用,以达到输入输出、返回DOS系统等目的。 4、掌握利用汇编实现求和与求最值的方法。 5、掌握利用汇编实现数制转换的方法。 6、巩固理论知识,锻炼动手编程,独立思考的能力。 二、实验内容(具体内容) 1、求从TABLE开始的10个无符号字节数的和,并将结果放在SUM字单元中。并查看前5个,前8 个数之和以及各寄存器和内存的状态。 2、在1的基础上修改程序,求出10个数中的最大值和最小值,最后将最大最小值分别赋给MAX及 MIN。 3、求1到 100 的累加和,并用十进制形式将结果显示在屏幕上。要求实现数据显示,并返回DOS 状态。 三、实验方法 1、设计思路 (1)实验1的设计思路:先将10个要相加的数存在以TABLE为首的10个连续的存储单元中,然后利用循环结构依次取出数值放在AL中并累加,若有进位则加到AH中直至循环10次累加结束,将累加的结果放在SUM中并返回DOS状态。 (2)实验2的设计思路:先将10个要比较的数放在以TABLE为首的10个连续的存储单元中。 将第一个数首先赋给AL和AH(分别存储相对最小和最大值)在利用LOOP循环结构,依次和下面的数进行比较,每次把相对的最大值与最小值存储到AH和AL中直至循环9次比较结束,将AH和AL里面的最大值与最小值赋给MAX和MIN,返回DOS状态 (3)实验3的设计思路:先在内存中定义COUNT=100,表示1-100求和,若相求1-n的和并显示只需COUNT的值为n即可,同时定义一块以DNUM为首地址的数据区用于存储累加和的十进制数对应的ASCII码。先利用AX和LOOP求出1-COUNT的累加和存在AX中;在进行数值转化,AX 依次除10取余数保存,将16进制数转化为10进制ASCII码值并存在DUNM中。最后在屏幕上显示并返回DOS状态。 2程序流程图 实验一、二和三的流程图分别如图1、图2和图3所示

三相桥式全控整流电路实验报告

三相桥式全控整流电路实 验报告 Prepared on 24 November 2020

实验三三相桥式全控整流电路实验 一.实验目的 1.熟悉MCL-18, MCL-33组件。 2.熟悉三相桥式全控整流电路的接线及工作原理。 二.实验内容 1.MCL-18的调试 2.三相桥式全控整流电路 3.观察整流状态下,模拟电路故障现象时的波形。 三.实验线路及原理 实验线路如图3-12所示。主电路由三相全控整流电路组成。触发电路为数字集成电路,可输出经高频调制后的双窄脉冲链。三相桥式整流电路的工作原理可参见“电力电子技术”的有关教材。 四.实验设备及仪器 1.MCL—Ⅱ型电机控制教学实验台主控制屏。 2.MCL-18组件 3.MCL-33组件 4.MEL-03可调电阻器(900) 6.二踪示波器 7.万用表 五.实验方法 1.按图3-12接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)打开MCL-18电源开关,给定电压有电压显示。

(2)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,相互间隔60o 的幅度相同的双脉冲。 (3)用示波器观察每只晶闸管的控制极、阴极,应有幅度为1V —2V 的脉冲。注:将面板上的Ublf 接地(当三相桥式全控整流电路使用I 组桥晶闸管VT1~VT6时),将I 组桥式触发脉冲的六个琴键开关均拨到“接通”, 琴键开关不按下为导通。 (4)将给定输出Ug 接至MCL-33面板的Uct 端,在Uct=0时,调节偏移电压Ub ,使=90o 。(注:把示波器探头接到三相桥式整流输出端即U d 波形, 探头地线接到晶闸管阳极。) 2.三相桥式全控整流电路 (1) 电阻性负载 按图接线,将Rd 调至最大450 (900并联)。 三相调压器逆时针调到底,合上主电源,调节主控制屏输出电压U uv 、U vw 、U wu ,从0V 调至70V(指相电压)。调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90° 3.电感性负载 按图线路,将电感线圈(700mH)串入负载,Rd 调至最大(450)。 调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30 O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90°

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

相关文档