文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术课程设计指导书_广东工业大学(精)

数字电子技术课程设计指导书_广东工业大学(精)

数字电子技术课程设计指导书_广东工业大学(精)
数字电子技术课程设计指导书_广东工业大学(精)

数字电子技术课程设计指导书第二版

物理与光电工程学院

电工电子部

2009年 4月 1日拟制

2013年 5月 6日排版

目录

1数字电子技术课程设计的目的与意义 . ...................................... 1 2数字电子技术课程设计的方法和步骤 . ...................................... 1 2.1设计任务分

析 . ................................................................... 1 2.2方案论

证 ........................................................................... 1 2.3方案实

现 ........................................................................... 2 2.3.1单元电路设

计 .......................................................... 2 2.3.2参数计

算 . ................................................................. 2 2.3.3器件选

择 . (2)

2.3.4安装调试 . (3)

3数字电子技术课程设计题目(A-E 任选一题 ........................ 3 3.1选题 A :功能数字钟的电路设计 ........................................ 4 3.1.1设计目

的 . ................................................................. 4 3.1.2设计任务与要

求 . ...................................................... 4 3.1.3选

做 . ........................................................................ 4 3.1.4数字钟的基本原理及电路设计 ................................. 4 3.1.5设计要点 . ................................................................. 8 3.2选题 B :交通灯控制电路设计 ........................................... 8 3.2.1设计目

的 . ................................................................. 8 3.2.2设计任务与要

求 . ...................................................... 8 3.2.3交通灯控制电路基本原理及电路设

计 . ...................... 9 3.2.4设计要点 . ............................................................... 12 3.3选题C :简易数字频率计电路设计 . ................................. 12 3.3.1设计目

的 . ............................................................... 12 3.3.2设计任务与要

求 . .................................................... 13 3.3.3数字频率计基本原理及电路设

计 . ........................... 13 3.3.4调试要点 . ............................................................... 17 3.4选题 D :洗衣机控制电路设计 .. (18)

3.4.1设计目的 . ............................................................... 18 3.4.2设计任务与要

求 . .................................................... 18 3.4.3洗衣机控制电路原理及电路设

计 . ........................... 18 3.4.4调试要点 . ............................................................... 21 3.5选题 E :四人智力竞赛抢答器 ......................................... 21 3.5.1设计目

的 . ............................................................... 21 3.5.2设计任务与要

求 . .................................................... 21 3.5.3四人智力竞赛抢答器电路原理及设

计 . .................... 22 3.5.4设计要点 . ............................................................... 24附:课程设计的考核办法(2008-10 . (26)

图 1数字钟整机逻辑图 . ................................................................. 5图 2石英晶体振荡电路 . ................................................................. 6图 3校时电

路 ................................................................................ 7图 4交通灯控制器结构

图 .............................................................. 9图 5交通灯控制状态转换

图 ........................................................ 10图 6数字频率计原理

图 . ............................................................... 14图 7555多谐振荡电

路 . ............................................................... 15图 8数字频率计逻辑控制电

路 . .................................................... 16图 9数字频率计报警电

路 ............................................................ 17图 10洗衣机电机运

转 ................................................................... 18图 11洗衣机控制电路原理

图 ........................................................ 19图 12洗衣机电机驱动电

路 ............................................................ 19图 13四人智能抢答器原理

图 (23)

表 1信号灯译码电路真值表 ........................................................ 11表 2驱动电路控制表 ................................................................... 20表 3锁存编码真值

表 (23)

1 数字电子技术课程设计的目的与意义

电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,电子技术课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。通过课程设计要实现以下两个目标:第一,让学生初步掌握电子线路的试验、设计方法。即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。

2 数字电子技术课程设计的方法和步骤

设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。

2.1 设计任务分析

对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。

2.2 方案论证

这一步的工作要求是把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。

方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索, 勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能, 清楚表示系统的基本组成和相互关系。

2.3 方案实现

2.3.1 单元电路设计

单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理, 各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。

2.3.2 参数计算

为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。

2.3.3 器件选择

阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有些电路对电

容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高。例如滤波电路中常用大容量铝电解电容,为滤掉高频通常还需并联小容量瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、频率和耐压范围是否满足要求。

分立元件的选择:分立元件包括二极管、晶体三极管、场效应管、光电二(三极管、晶闸管等。根据其用途分别进行选择。选择的器件种类不同,注意事项也不同。例如选择晶体三极管时,首先注意是选择 NPN 型还是 PNP 型管,是高频管还是低频管,是大功率还是小功率,并注意管子的参数是否满足电路设计指标的要求。

集成电路的选择:由于集成电路可以实现很多单元电路甚至整机电路的功能,所以选用集成电路来设计单元电路和总体电路既方便又灵活,它不仅使系统体积缩小,而且性能可靠,便于调试及运用,在设计电路时颇受欢迎。集成电路有模拟集成电路和数字集成电路。国内外已生出大量集成电路,其器件的型号、原理、功能、特征可查阅有关手册。选择的集成电路不仅要在功能和特性上实现设计方案,而且要满足功耗、电压、速度、价格等多方面的要求。

2.3.4 安装调试

安装与调试过程应按照先局部后整机的原则,根据信号的流向逐块调试,使各功能块都要达到各自技术指标的要求,然后把它们连接起来进行统调和系统测试。调试包括调整与测试两部分,调整主要是调节电路中可变元器件或更换器件,使之达到性能的改善。测试是采用电子仪器测量相关点的数据与波形, 以便准确判断设计电路的性能。装配前必须对元器件进行性能参数测试。根据设计任务的不同,有时需进行印制电路板设计制作,并在印制电路板上进行装配调试。 3 数字电子技术课程设计题目(A-E 任选一题

3.1 选题 A :功能数字钟的电路设计

数字钟是采用数字电路实现“时” 、“分” 、“秒”数字显示的计时装置。钟表的数字化在提高报时精度的同时, 也大大扩展了它的功能, 诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。

3.1.1 设计目的

(1掌握数字钟的设计、组装与调试方法。

(2熟悉集成电路的使用方法。

3.1.2 设计任务与要求

(1时钟显示功能,能够以十进制显示“时” 、“分” 、“秒” 。

(2具有校准时、分的功能。

(3整点自动报时,在整点时,便自动发出鸣叫声,时长 1s 。 3.1.3 选做

(1闹钟功能,可按设定的时间闹时。

(2日历显示功能。将时间的显示增加“年” 、“月” 、“日” 。 3.1.4 数字钟的基本原理及电路设计

一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时” 、“分” 、“秒”译码器译码, 并通过显示器显示时间。数字钟的整机逻辑框图,如图 1所示。

图 1数字钟整机逻辑图

3.1.

4.1 振荡器

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。用反相器与石英晶体构成的振荡电路如图 2所示。利用两个非门 G1和 G2自我反馈, 使它们工作在线形状态, 然后利用石英晶体 Z 1来控制振荡频率。振荡器振荡频率的精度与稳定度基本上决定数字钟的准确度,晶振频率越高,计时准确度越高。目前常见的石英晶振频率是 4MHz 时,则振荡器输出频率为 4MHz 。

图 2石英晶体振荡电路

振荡器还可以采用 555时基电路代替。

3.1.

4.2 分频器

时间标准信号的频率很高,要得到秒脉冲,需要分频电路。例如, 振荡器输出

4MHz 信号,可通过 D 触发器(如 74LS74进行 4分频变成 1MHz ,也可以将 10分频计数器 74LS160(或 74LS90行 4分频变成 1MHz ,然后送到 10分频计数器

74LS160(或 74LS90 ,经过 6次 10分频而获得 1Hz 的方波信号。

3.1.

4.3 计数器

整个计数器电路由秒计数器、分计数器、时计数器串接而成。秒计数器和分计数器各自由

一个十进制计数器和一个六进制计数器串接组成,形成两个六十进制计数器。时计数器可由两个十进制计数器串接并通过反馈接成二十四制计数器。

3.1.

4.4 译码器

译码器由六片 74LS247(或 74LS48组成, 74LS247驱动器是与 8421BCD 编码计数器配合用的 7段译码驱动器。一片 74LS247驱动一只数码, 72LS247是集电极开路输出,为了限制数码管的导通电流,在 72LS247的输出与数码管的输入端之间均应串有限流电阻。 3.1.4.5 显示器

本系统用七段发光数码管来显示译码器输出的数字,发光数码管有两种:共阳极或共阴极。 74LS247驱动器是低电平输出,采用共阳极数码管。

3.1.

4.6 校时电路

刚接通电源或走时不准时,都需要进行时间校准。实现校时电路的方法有很多,采用基本

R-S 触发器构成单脉冲发生器是其中的一种,电路如图 3所示。

图 3校时电路

3.1.5 设计要点

(1画出整个系统的电路图,并列出所需器件清单。

(2采购器件,并按电路图接线,认真检查电路是否正确,注意器件管脚的连接, “悬空端” 、

“清零端” 、“置 1端”要正确处理。

(3调试振荡器电路,用示波器观察振荡频率输出。

(4 将振荡输出频率送入各分频器, 观察其输出频率是否符合设计要求。

(5检查各级计数器的工作情况。

(6查校时电路的功能是否满足校时要求。

(7 分频器和计数器调试正常后, 观察电子钟是否正常准确地工作。

3.2 选题 B :交通灯控制电路设计

由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线内。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。

3.2.1 设计目的

(1掌握交通灯控制电路的设计、组装与调试方法。

(2熟悉数字集成电路的设计和使用方法。

3.2.2 设计任务与要求

(1用红、绿、黄三色发光二极管作信号灯。

(2当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。

(3 主支干道交替允许通行, 主干道每次放行 30s 、支干道 20s 。设计 30s 和20s 计时显示电路。

(4在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,设置5s 计时显示电路。

3.2.3 交通灯控制电路基本原理及电路设计

实现上述任务的控制器整体结构,如图 4所示。

图 4交通灯控制器结构图

3.2.3.1 主控制器

主控电路是本课题的核心,主要产生 30s 、 20s 、 5s 三个定时信号, 它的输出一方面经译码后分别控制主干道和支干道的三个信号灯, 另一方面控制定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。

主干道和支干道各自的三种灯(红、黄、绿 ,正常工作时,只有 4种可能,即 4种状态:

主绿灯和支红等亮,主干道通行,启动 30s 定时器,状态为 S 0;

主黄灯和支红灯亮,主干道停车,启动 5s 定时器,状态为 S 1; 主红灯和支绿灯亮,支干道通行,启动 20s 定时器,状态为 S 2; 主红灯和支黄灯亮,支干道停车,启动 5s 定时器,状态为 S 3。四种状态的转换关系,如图 5所示。

未过 20s

s

图 5交通灯控制状态转换图

可用 2个 JK 触发器表达上述四种状态的分配和转换。

3.2.3.2 计时器电路

这些计时器除需要秒脉冲作时钟信号外,还应受主控器的状态的控制。例如30s 计时器应在主控器进入 S 0状态(主干道通行时开始计时,同样 20s 计时器必须在主控器进入 S 2状态时开始计数,而 5s 计时器则要在进入 S 1或 S 3状态时开始计数,待到规定时间分别使计数器复零。设计中 30s 计数器可以采用两个十进制计数器级连成三十进制计数器,为使复零信号有足够的宽度,可采用基本 RS 触发器组

成反馈复零电路。按同样的方法可以设计出 20s 和 5s 计时电路,与 30s 计时电路相比,后两者只是控制信号和反馈信号的引出端不同而已。

3.2.3.3 译码驱动电路

(1信号灯译码电路

主控器的四种状态分别要控制主、支干道红黄绿灯的亮与灭。令灯亮为“ 1” ,灯灭为“ 0” ,

主干道红黄绿等分别为 R 、 Y 、 G ,支干道红黄绿等分别为 r 、 y 、 g ,则信号灯译码电路真值表为:

表 1信号灯译码电路真值表

由真值表可进一步得到各灯的逻辑表达式, 进而确定其电路形式。 (2计时显示译码电路

计时显示实际是一个定时控制电路,当 30s 、 20s 、 5s 任一计数器计数时,在主支干道各自可通过数码管显示出当前的计数值。计数器输出的七段数码显示用BCD 码七段译码器驱动即可, 具体设计可参考课题一电子数字钟的译码、显示部分。

3.2.3.4 时钟信号发生器电路

产生稳定的“秒”脉冲信号,确保整个电路装置同步工作和实现定时控制。此电路与课

题一数字钟的秒脉冲信号产生电路相同,可参阅其中晶体振荡电

路、分频电路的设计。如果计时精确度要求不高,也可采用 RC 环形多谐振荡器。

3.2.4 设计要点

(1画出整机电路图,并列出所需器件清单。

(2采购器件,并按电路图接线,认真检查电路是否正确,注意器件管脚的连接, “ 悬空端” 、

“ 清零端” 、“ 置 1端” 要正确处理。

(3秒脉冲信号发生器与计时电路的调试与上一设计相同。

(4主控器电路的调试,可用逻辑开关 K 1、 K 2、 K 3分别代替 L 、 S 、 P 信号,秒脉冲作时钟信号,主控器状态应按状态转换图转换。 (5如果以上逻辑关系正确,即可与计时器输出 L 、 S 、 P 相接, 进行动态调试。

(6信号灯译码调试亦是如此,先用两个逻辑开关 K 4、 K 5代替 Q 2、 Q 1,当 Q 2、 Q 1分别为 00、 01、 10、 11时, 6各发光二极管应按设计要求发光。

(7各单元电路均能正常工作后,即可进行总机调试。

3.3 选题 C :简易数字频率计电路设计

数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 3.3.1 设计目的

(1了解数字频率计测量频率与测量周期的基本原理;

(2 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。

3.3.2 设计任务与要求

要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:

(1测量范围:

1Hz — 9.999KHz ,闸门时间 1s ;

10Hz — 99.99KHz ,闸门时间 0.1s ;

100Hz — 999.9KHz ,闸门时间 10ms ;

1KHz — 9999KHz ,闸门时间 1ms 。

(2显示方式:四位十进制数

(3当被测信号的频率超出测量范围时,报警 .

3.3.3 数字频率计基本原理及电路设计

所谓频率,就是周期性信号在单位时间(1s 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f x =N/T。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构,如图 6所示。

图 6数字频率计原理图

从原理图可知, 被测信号 V x 经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率 f x 相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度 T 的方波时基信号 II 作为闸门的一个输入端,控制闸门的开放时间,被测信号 I 从闸门另一端输入,被测信号频率为 f x , 闸门宽度 T , 若在闸门时间内计数器计得的脉冲个数为 N , 则被测信号频率 f x =N/TH z 。可见,闸门时间 T 决定量程,通过闸门时基选择开关选择,选择 T 大一些,测量准确度就高一些, T 小一些, 则测量准确度就低 . 根据被测频率选择闸门时间来控制量程 . 在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量

结果是否精确 . 逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0” 脉冲Ⅴ,使计数器每次测量从零开始计数。

3.3.3.1 放大整形电路

放大整形电路可以采用晶体管 3DGl00和 74LS00,其中 3DGl00组成放大器将输入频率为 f x 的周期信号如正弦波、三角波等进行放大。与非门 74LS00构成施密特触发器, 它对放大器的输出信号进行整形, 使之成为矩形脉冲。

3.3.3.2 时基电路

时基电路的作用是产生标准的时间信号,可以由 555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由 555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。

图 7555多谐振荡电路

555多谐振荡电路产生时基脉冲

采用 555产生 1000H Z 振荡脉冲的参考电路如图 7所示。电阻参数可以由振荡频率计算公式 f=1.43/((R 1+2R 2 *C 求得。

由于本设计中需要 1s 、 0.1s 、 10ms 、 1ms 四个闸门时间, 555

振荡器产生 1000HZ ,周期为 1ms 的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用 74LS90分别经过一级、二级、三级 10分频得到。

3.3.3.3 逻辑控制电路

在时基信号 II 结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0” 信号 V 。脉冲信号Ⅳ和 V 可由两个单稳态触发器 74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从 B 端输入时,在触发脉冲的负跳变作用下,输出端 Q 可获得一正脉冲, Q 非端可获得一负脉冲,其波形关系正好满足Ⅳ和 V 的要求。手动复位开关 S 按下时,计数器清“0” 。参考电路,如图 8所示。

图 8数字频率计逻辑控制电路

3.3.3.4 锁存器

锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用 8D 锁存器 74LS273可以完成上述功能.当时钟脉冲 CP 的正跳变来到时,锁存器的输出等于输入,即 Q=D。从而将计数器的输出值送到

锁存器的输出端。正脉冲结束后,无论 D 为何值,输出端 Q 的状态仍保持原来的状态 Q n 不变. 所以在计数期间内, 计数器的输出不会送到译码显示器. 3.3.3.5 报警电路

本设计要求用 4位数字显示,最高显示为 9999。超过 9999就要求报警,即当千位达到 9(即 1001时,如果百位上再来一个时钟脉冲 (即进位脉冲 , 就可以利用此来控制蜂鸣器报警。电路如图 9所示。

图 9

数字频率计报警电路

3.3.4 调试要点

(1通电准备

打开电源之前,先按照系统原理图检查制作好的电路板的通断情况,并取下电路板上的集成块,然后接通电源,用万用表检查板上的各点电源电压值,之后再关掉电源,插上集成块。

(2单元电路检测

接通电源后,用双踪示波器(输入耦合方式置 DC 档观察时基电路的输出波形,看其是否满足设计要求,若不符合,则调整 R 1和 R 2。然后改变示波器的扫描速率旋钮,观察 74LSl23的第 13脚和第 10脚的波形是否为锁存脉冲Ⅳ和清零脉冲 V 的波形。

将 4片计数器 74LS90的第 2脚全部接低电平,锁存器 74LS273的第 11脚都接时钟脉冲,在个位计数器的第 14脚加入计数脉冲,检

H Z

广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________ 实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__ 1、熟悉SmartDesign工具的使用 2、综合实验的设计、仿真、程序烧录及验证

实验报告 一、实验目的 1、了解基于Verilog的组合逻辑电路的设计及其验证。 2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。 3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。 4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。 二、实验环境 1、Libero仿真软件。 2、DIGILOGIC-2011数字逻辑及系统实验箱。 3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。 三、实验内容 1、跑马灯设计 设计要求: 共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 (1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 (2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 (3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。 (4)模式4:自定义。 2、四位数码管扫描显示电路的设计 设计要求: 共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 (2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

广东工业大学导师信息

姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 王 成勇3男教授 博 士 大连理工大 学,1989 模具高速加工及 CAD/CAM,精密超精密 加工理论、设备与工 具,超硬材料及纳米 材料工具 阎 秋生4男教授 博 士 天津大学、 磨削加工工艺、微细加 工、先进加工装备 郭 钟宁3男教授 博 士 香港理工大学 特种加工、微细加工、 加工过程检控 魏昕3女教授 博 士 华南理工大学 微电子材料精密超精 密精密加工技术,加工 过程监测技术,高能束 加工技术 袁慧1女 副教 授 大 学 吉林工业大 学,1977 难加工材料精密加工 与工具 马平2男教授 博 士 南京航天航空 大学/ 高速机床研究\数控技 术\智能监测与控制技 术研究 pingma@gdut 傅 惠南3男教授 博 士 日本神户大学 /1999 微纳米操作加工\微纳 米检测控制\超精密研 磨 张 永俊2男1966教授 博 士 南京航天航空 大学/94 特种加工技术\机器人 运动\动力学研究 (郭钟宁教授负 责) 姜 莉莉3女教授 博 士 莫斯科工业大 学,1998 制造过程信息化, CAD/CAM/PDM. 李 锻能2男 副教 授 学 士 1982年湖南大 学本科 机械制造装备、高速加 工、滑动轴承 高 伟强2男 副教 授 博 士 “Stankin” 莫斯科国立工 业大学 磁性研磨,先进制造装 备设计,CAD/CAPP/CAM 于1男副教学1982年北京精密加工、特种加工、

兆勤授士理工大学数控加工技术 (CAD/CAM) 肖 曙红2男 副教 授 博 士 华南理工大 学, 高速数控机床,直接驱 动控制,精密机械与数 字化设计 张 凤林1男 副教 授 博 士 华南理工大 学, 超硬材料工具制造 徐 晓东1男 研究 员 博 士 北京科技大 学,2000 射流加工理论与工艺 (王成勇教授负 责) 林 一松1 (王成勇教授负 责) 本帖最后由广工机 电于201 1-3-20 16: 55 编辑 姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 陈新4男教授 博 士 华中理工大学, CIMS与网络化制造,微 电子装备制造 郑 德涛1男教授 博 士 清华大学, CIMS与网络化制造,微 电子装备制造 吴 百海4男教授 学 士 中南建筑学院, 1964 机电液智能控制,海洋 机电工程

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

广东工业大学本科生创新创业实践保障和激励暂行办法

广东工业大学本科生创新创业实践保障和 激励暂行办法 第一章总则 第一条本科生创新创业实践是培养学生科学素养、创新精神、创业意识和实践能力的重要载体,是学生知识、素质和能力教育,创新创业人才培养的重要途径,为此,学校在十二五规划期间,提出实施“大学生创新行动计划”,把学生创新创业实践纳入人才培养方案中。为促进学生创新创业实践的制度化、规范化和科学化,吸引社会资源参与我校创新创业人才培养,建立有效的保障和激励机制,调动广大教师指导、学生参与的积极性,鼓励广大学生积极参加学术研究、社会调查、科技创新、创业训练、技能实践等活动,结合实际,特制定本办法。 第二条学生创新创业实践主要有以下两类: (一)校级及以上各类创新训练项目、创新实践项目、创业训练项目和创业实践项目等。 (二)校级及以上各类“挑战杯”竞赛、学科竞赛、创业项目竞赛等项目。 第二章机构与职责 第三条学校成立学生创新创业训练与实践领导小组,由校长担任组长,分管学生工作、教学工作的校领导担任副组长,成员包括团委、教务处、学生处、科技处、研究生处、财务处、人事处、实验室与设备管理处、校友工作及社会联络办公室、实验教学部及广东工大资产经营有限公司等部门负责人,领导小组下设办公室,办公室设在团委和教务处,负责学生创新创业训练与实践的统筹规划、项目评审、组织协调等工作。

第四条教务处负责组织学生创新训练项目、创新实践项目立项和管理;负责统筹组织、管理各类学科竞赛,审核学科及专业技能竞赛获奖师生的奖励;负责认定教师指导学生创新创业实践工作量;认定、置换学生创新创业实践学分及相关课程免修等。学校团委负责协助教务处组织创新训练项目、创新实践项目立项和管理;负责从创新训练项目、创新实践项目、创业训练项目、创业实践项目中评审挑选“挑战杯”等竞赛培育项目;组织开展“挑战杯”系列竞赛;统筹组织学术科技节系列活动;审核“挑战杯”系列竞赛获奖师生的奖励。学生处负责学生创业训练项目和创业实践项目的立项、管理和推广;负责审核及推荐创业项目入驻校内外创业孵化基地(园);负责学生创业实体的培育扶持;负责“大学生创业证”的审核发放以及政府、学校各项创业优惠政策的联系与落实;负责获奖学生综合素质测评加分。科技处(人文社科处)负责认定教师指导学生创新创业实践的科研积分;负责每年学生创新创业实践到校经费、专利申请、学术论文发表等的统计和排名;负责学生专利申请的指导、资助和管理。人事处负责在教师职称评定和评先评优时对教师指导学生创新创业实践工作经历及获奖情况等作出认定并列为重要参考依据。研究生处负责审核学生“推免”加分或直接“推免”资格认定。 第五条学校在每年5月份对学生创新创业实践项目集中评审立项,校级创新训练项目、创新实践项目由教务处聘请相关专家评审,校级创业训练项目、创业实践项目由学生处聘请相关专家评审,“挑战杯”竞赛培育项目(校级创新创业实践重点项目)由学校团委聘请相关专家评审。以上每次评审专家人数不少于10人,以投票表决方式决定立项项目,得票从高到低确定立项项目,得票必须获得参评专家二分之一同意票以上方可立项,立项项目在校内网上公示3天后,如无异议报学校学生创新创业训练与实

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

广工EDA数字逻辑第5章

5.7 EDA开发综合实例3:SmartDesign的使用 在Libero中,除了可以编写程序实现相应设计外,还可通过可视化操作方式(“SmartDesign”软件),对现成的模块进行连线和拼装,实现特定的功能。 下例采用可视化方法实现1位全加器,再改造为2位串行进位加法器,操作过程既有通过编写代码建立模块,也有调用现成模块,还有通过IP核创建实例模块,并对多个模块进行拼装和测试。 5.7.1 使用半加器构造全加器 通过半加器来构造全加器的方法在4.7.3中讨论了,以下的模块及其连接均基于图4-24完成。 1.新建工程 打开Libero IDE,选择“Project”菜单的“New Project”命令,输入项目名称、选择项目存放路径,选择语言Verilog(如图5-62所示)。设备的选择同5.6中的实例2。 2.新建SmartDesign设计 在“Project Manager”中点击“SmartDesign”按钮(如图5-63),在弹出的对话框中输入设计名称,如图5-64所示。

工作区中会显示打开了“adders”设计的画布,但画布是一片空白,如图5-65所示。 3.添加半加器模块 点击“Project Flow”切换回项目流程,点击“HDL Editor”按钮,输入并新建Verilog 程序文件。如图5-66所示:

在打开的文件中输入半加器程序代码,代码同4.7.3中的半加器设计。 项目会把第一个建立的模块或设计作为“根”(Root),并加粗显示,如果项目中的根不是“adders”,则可在“Design Explorer”窗口中对着“adders”按右键,选择“Set As Root”进行修改。如图5-68所示:

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

广东工业大学学分制实施办法

广东工业大学学分制实施办法 第一章总则 第一条为规范管理学生的学分制修读过程,特制订本实施办法。 第二条学生必须按所学专业教学计划所列应修读课程(包括理论教学、实践教学、课外教学活动)并取得学分,达到专业教学计划规定的最低学分要求方能毕业。 第二章课内教学 第三条课内教学是根据专业人才培养目标和培养要求设置的教学活动,是人才培养计划的主体。我校学生在校修读的课程设置分为公共基础课、专业基础课、专业课、公共选修课以及实践性教学环节。 第四条公共基础课程包括马克思主义理论课和思想品德课、体育、外语、数学、物理、计算机基础教育课和文化素质教育课。 专业基础课程是为专业学习服务、按学科平台设置的传授基本理论和基本技能的课程。 上述二类为学生必须修读的课程。 第五条专业课包括专业必修课与专业选修课两类,专业必修课程是专业培养的核心课程,有深度有广度地提供与本专业相关的基本知识、理论和技能。专业选修课程是根据社会对本专业本科人才知识、能力、素质结构的要求而设置的模块式课程组,供学生自主选择。 第六条本科学生的公共选修课程包括人文社会科学类、自

然科学类、工程技术基础及技能类三种,旨在加强大学生人文素质和科学素质的养成和提高,增强工程意识。对于工程技术基础及技能类选修课,学生应修读本专业以外的工程基础技术及技能课程,以利于复合型人才的形成并拓宽就业渠道。对于公共选修课,本科学生必须完成至少14学分的选修课程,其中工科专业学生人文社会科学类7学分,自然科学类4学分,工程技术基础及技能类3学分;理科专业学生人文社会科学类7学分,自然科学类3学分,工程技术基础及技能类4学分;经、管、文类专业学生人文社会科学类3学分,自然科学类4学分,工程技术基础及技能类7学分。学生根据个人特长和开课实际情况修读。 第七条专科的专业课根据社会对本专业专科人才的知识、能力、素质要求设置,为学生必须修读的课程。 专科的选修课程主要为开拓学生视野,介绍本专业的学科前沿和实用高新技术,供学生选读。专科学生须完成专业教学计划规定的选修课学分。 第八条实践性教学环节是培养学生实践能力、创新精神的重要途径。我校实践性教学环节主要有军事训练、社会实践、工程实践、实验实训、认识实习、生产实习、课程设计、毕业设计(论文)等。 第九条课内教学环节学分计算 理论教学课及单独设置的实验、实习实训课、设计类课程,16学时为1学分;集中进行的实践性教学环节1周为1学分。 第三章课外教学活动 第十条课外教学活动是培养学生创新精神、创业能力和提高综合素质的必要环节,包括本科生修读的“思政课”课外导读、入学教育、公益活动、假期社会实践、高年级体育锻练、科技实践

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字逻辑状态机例子

Digital System Design 1 2011/6/21 Computer Faculty of Guangdong University of Technology 例:用三进程状态机实现一个简单自动售货机控制电路,电路框图如下。该电路有两个投币口(1元和5角),商品2元一件,不设找零。In[0]表示投入5角,In[1]表示投入1元,Out 表示是否提供货品。

Digital System Design 2 2011/6/21 Computer Faculty of Guangdong University of Technology 根据题意,可分析出状态机的状态包括: S0(00001):初始状态,未投币或已取商品 S1(00010):投币5角 S2(00100):投币1元 S3(01000):投币1.5元 S4(10000):投币2元或以上 用独热码表示状态编码,如上所示。相应状态转换图如下(按Moore 状态机设计)。

Digital System Design 3 2011/6/21 Computer Faculty of Guangdong University of Technology 自动售货机状态转换图

Digital System Design 4 2011/6/21 Computer Faculty of Guangdong University of Technology 设计代码 第一个Always 块:状态转移。

Digital System Design 5 2011/6/21 Computer Faculty of Guangdong University of Technology 第二个Always 块:状态转移的组合逻辑条件判断

广工自动化学院-数电实验-题目:可编程逻辑器件FPGA(计数译码显示系统设计)

广东工业大学实验报告 学院:自动化专业:电力系统自动化11级4班 姓名:xxx 学号:xxxxxxxx 实验日期:2013年5月29日实验地点:实二212 可编程逻辑器件FPGA实验二 计数译码显示系统设计 一、实验目的 1、掌握中规模集成计数器的逻辑功能,以及任意进制计数器的设计方法 2、熟悉显示译码器和数码管的原理及设计应用 3、了解用数字可编程器件实现集成计数译码显示电路的方法 4、学会分频器的使用 5、进一步熟悉QUARTUS软件的基本使用方法 二、实验原理 1、计数器 a)74LS161是十六进制计数器,每输入16个计数脉冲计数器工作一个循环,并在输出端产生一个进 位输出信号,是一个4位同步二进制加法计数器。不仅如此,还具有预置数、保持和异步置零等附加功能。 b)74LS190是十进制计数器,每输入10个计数脉冲计数器工作一个循环,并在输出端产生一个进位/ 借位输出信号,是一个同步加/减计数器。同一般计数器一样,具有预置数、保持和异步置零等附加功能,而且,还多了一个选择电路加、减法的功能。 2、显示译码器和数码管 显示译码器分为:七段字符显示器(简称七段数码管)和BCD-七段显示 数码显示器分为:发光二极管数码管(LED数码管)和液晶显示数码管(LCD数码管) 3、分频器 分频器用于对较高频率的时钟脉冲进行分频操作,得到较低频率的信号,可用于计数。 三、实验内容 1、用74161设计一个十九进制的计数器 (1)原理图

关于加法器仿真的说明:实验要求输入50Mhz的高频率时钟脉冲,通过74292产生1秒左右的的输入时钟,但在仿真过程中,如果按照实际操作,仿真时间会比较长,而要验证加法器的计数是否正确,可以通过以下方法验证: 1.将输入50MHZ的输入端和分频器74292两个部分与右边的电路分开,放置一边; 2.重新给右边电路一 个输入信号,通过一个普通输入端,和一个周期为10ns的时钟脉冲,然后进行仿真即可,结果如下图所示。 (2)功能仿真波形 (3)时序仿真波形 2、用74190设计一个十二进制减法计数器 (1)原理图

数字电子技术课程设计指导书_广东工业大学(精)

数字电子技术课程设计指导书第二版 物理与光电工程学院 电工电子部 2009年 4月 1日拟制 2013年 5月 6日排版 目录 1数字电子技术课程设计的目的与意义 . ...................................... 1 2数字电子技术课程设计的方法和步骤 . ...................................... 1 2.1设计任务分 析 . ................................................................... 1 2.2方案论 证 ........................................................................... 1 2.3方案实 现 ........................................................................... 2 2.3.1单元电路设 计 .......................................................... 2 2.3.2参数计 算 . ................................................................. 2 2.3.3器件选 择 . (2) 2.3.4安装调试 . (3) 3数字电子技术课程设计题目(A-E 任选一题 ........................ 3 3.1选题 A :功能数字钟的电路设计 ........................................ 4 3.1.1设计目 的 . ................................................................. 4 3.1.2设计任务与要 求 . ...................................................... 4 3.1.3选 做 . ........................................................................ 4 3.1.4数字钟的基本原理及电路设计 ................................. 4 3.1.5设计要点 . ................................................................. 8 3.2选题 B :交通灯控制电路设计 ........................................... 8 3.2.1设计目 的 . ................................................................. 8 3.2.2设计任务与要 求 . ...................................................... 8 3.2.3交通灯控制电路基本原理及电路设

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

广东工业大学2009届毕业生就业协议书. 发放及管理办法

广东工业大学2009届毕业生就业协议书 发放及管理办法 一、普通高等学校毕业生、毕业研究生就业协议书(以下简称协议书)是毕业生与用人单位签订就业合同文书和学校编制、上报就业方案的凭据,由广东省高等学校毕业生就业指导中心统一印制。 二、发放对象:国家计划内招生的应届本专科毕业生以及因特殊情况经校教务部门同意延长学籍并于2010年取得毕业资格的学生、定向生及民族生。港澳生、外国留学生原则上不发放。 三、2010届毕业生协议书的发放采取毕业生通过学校就业网进行网上申请后取领取(2009年11月2日开始网上申请,申请流程参见附件)。2009年11月2日以前需领取协议书的毕业生,须认真填写《广东工业大学本专科毕业生就业协议书申请表》(申请书可在学校就业网上下载),由学院签字盖章后交学校就业指导中心,2个工作日后到所在学院领取。 四、符合发放条件的毕业生每人只能拥有一份协议书(一式三联);协议书必须由本人亲自签领。并在《广东工业大学2010届毕业生就业协议书签领登记表》上签字确认。 五、协议书的签订 1.符合发放协议书条件的毕业生落实签约单位后,按协议书的申请流程进行网上申请并到所在学院签领协议书,与用人单位签约后,到学校就业网录入协议书的相关内容(即录入个人就业信息,为

协议书的鉴证的前提工作,与申请协议书不同),然后将相关材料提交学院审核并鉴证,待接收手续完备后再到学校就业中心鉴证;毕业生就业指导中心将鉴证完毕的协议书交各学院,学院凭学校就业中心已鉴证的协议书协助毕业生录制就业建议方案。 2.签约前已录取并决定就读研究生的毕业生(或专升本)原则上不发放协议书。确定录取研究生(或专升本)之前已经签约的毕业生,如果选择读研究生(或专升本),须由毕业生本人与就业单位协商解约事宜;如果选择放弃深造而在签约单位就业的,须由毕业生向录取学校研招办提交弃读申请,由录取学校研招办签字盖章后交学院做建议就业方案凭据。 3.协议书的签署必须符合国家有关法律法规。毕业生签约前应认真阅读协议书上的说明条款,不得弄虚作假欺骗签约单位,否则后果自负。 4.如果用人单位恶意违约,不履行协议,经毕业生本人和学校协调不果的,毕业生可凭协议书向单位所在地劳动仲裁委员会提请调解,或直接诉诸法院。 5.各学院应加强毕业生签约的诚信教育,原则上不允许毕业生违约。 六、协议书的更换、补发: 1.毕业生签领协议书后,因损坏、涂污、填错等原因需更换协议书者,由毕业生填写协议书补发申请表《广东工业大学补发毕业生就业资料申请表》,学院党委副书记签字盖章后到学校就业指导中心

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

相关文档
相关文档 最新文档