文档库 最新最全的文档下载
当前位置:文档库 › 哈工大电气工程考研数电知识点

哈工大电气工程考研数电知识点

哈工大电气工程考研数电知识点
哈工大电气工程考研数电知识点

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

请勿商业用途,仅供学习交流!

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

最新哈工大数电期末试题+答案

一、选择与填空(共8分) 1.函数表达式Y =C D C B A + ++,则其对偶式为(不必化简): Y '= 。 2.图1-2为CMOS 工艺数字逻辑电路,写出F 的表达式:F = 。 F o /mV u u 图1-2 图1-3 3.图1-3为4位 (逐次逼近型、双积分型、流水线型)A/D 转换器的转换示意图,转换结果为 。 4.对于一个8位D/A 转换器,若最小输出电压增量为0.01V ,当输入代码为01001101时,输出电压u o = V ,分辨率= 。 5.已知时钟脉冲频率为f cp ,欲得到频率为0.25f cp 的矩形波,哪种电路一定无法实现该功能( ) A .四进制计数器; B .四位二进制计数器; C .单稳态触发器; D .施密特触发器。 6.某EPROM 有8条数据线,10条地址线,其存储容量为 字节。 一、(8分)每空1分 1. ()A B CDC +; 2. X A XB +或X B A F X ; 3. 逐次逼近型,0101; 4. 0.77V , 8 121 -或0.0039; 5. D ; 6. 210 二、回答下列问题(共10分) 1.电路如图2-1所示。V 5CC =V ,R 取值合适,写出F 的表达式(不必化简)。

3210 图2-1 解: 3210F ABS ABS ABS ABS =???————————————————3分 2.卡诺图化简:(),,,(0,1,2,3,5,8)P A B C D m =∑,约束条件为:0ABD BCD ABC ++= 解: AB CD 00 01 1110 00011110 1 1 ΦΦ Φ 01 1 1 001 ΦΦ ——————————2分 P AD BD =+——————————————1分

哈工大数学考研大纲

哈工大数学考研大纲

2011年哈尔滨工业大学数学系硕士研究生入学考试 [831] 高等代数考试大纲 考试科目名称:高等代数考试科目代码:[831] 一、考试要求 (一)多项式 1.理解数域,多项式,整除,最大公因式,互素,不可约,k重因式,重因式的概念。了解多项式环,微商,本原多项式,字典排序法,对称多项式,初等对称多项式,齐次多项式,多项式函数等概念。 2.掌握整除的性质,带余除法定理,最大公因式定理,互素多项式的判别与性质,不可约多项式的判别与性质,多项式唯一因式分解定理,余式定理,因式定理、代数基本定理,Vieta定理,高斯引理,Eisenstein判别定理,对称多项式基本定理。 3.掌握 ) (x f无重因式的充要条件,) ( ) (x g x f 的判别条件,Lagrange插值公式,复数域、实数 域及有理数域上多项式因式分解理论,有理多项式的有理根范围。 4.掌握辗转相除法,综合除法。掌握化对称多项式为初等对称多项式的多项式的方法。 (二)行列式 1.了解行列式的概念,理解行列式的子式,余子式及代数余子式的概念。 2.掌握行列式的性质,按行、列展开定理,Cramer法则,Laplace定理,行列式乘法公式。 3.会用行列式的性质及展开定理计算行列式,掌握计算行列式的基本方法。 (三)线性方程组 1.理解向量线性相关,向量组等价,极大无关组,向量组的秩,矩阵的秩,基础解系,解空间等概念。 2.掌握线性方程组有解判别定理、线性方程组解的结构。 3.掌握用行初等变换求解线性方程组的方法。 (四)矩阵 1.理解矩阵的概念、了解单位矩阵、对角矩阵、三角矩阵、对称阵、反对称阵的概念及其性质。 2.掌握矩阵的线性运算、乘法、转置,以及它们的运算规律。 3.理解逆矩阵的概念,掌握逆矩阵的性质以及矩阵可逆的充要条件。理解伴随矩阵的概念,掌握伴随矩阵的性质。 4.掌握矩阵的初等变换、掌握初等矩阵的性质,理解矩阵等价的概念,会用初等变换法求矩阵的秩及逆矩阵。 5.理解分块矩阵,掌握分块阵的运算及初等变换。 (五)二次型 1.二次型的概念及二次型的矩阵表示,了解二次型秩的概念,掌握二次型的标准形、规范形的概念及慣性定律。 2.掌握用合同变换、正交变换化二次型为标准形的方法。 3.掌握二次型和对应矩阵的正定、半正定、负定、半负定及其判别法。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大1系自动控制原理大作业

哈工大自动控制原理大作业

一、设计任务: 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际 工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确 跟踪参考输入的伺服系统。该系统的框图如图所示。 7. 继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。 二、设计过程: 原传递函数 ()042 (1)(2)(1)(1)2 G s s s s s s s = = ++++ 转折频率为11ω=和22ω=,剪切频率122c ωωω==,画出Bode 图如下:

系统的相位裕度2 18090arctan 2arctan 02 γ=---= 为了满足相位裕度50γ≥ 的条件,需要对系统进行超前补偿。由于要求稳态速度误差常数为2秒-1,所以放大系数K=2,即K 保持不变。 取50γ= ,11 1.3sin sin 50r M γ= == 2 2 1.5(1) 2.5(1)s r r c t M M πω??= +-+-??且要求s t 小于四秒。求得 2.1c ω≥,Mr Mr c 12-≤ωω知50.02≤ω。所以根据设计要求50.02≤ω在Bode 图上进行设计, 取2.02=ω(为了计算方便)求得串联超前校正环节传递函数110 12.0)(++=s s s Gc 并且作图如下:

补偿之后的系统传递函数为) 110 )(12)(1()12.0( 2)()()(++++==s s s s s s Go s Gc s G 相位裕度 18090arctan 22.5arctan 4.5arctan 2.25arctan 0.4150.21γ=-+---= 1 1.3sin 50.21 r M = = ,22 1.5(1) 2.5(1) 3.82s r r c t M M s πω??=+-+-=?? 均满足设计条件。 2、计算机辅助设计: (1)校正前伯德图

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

2019哈工大大数据科学与工程考研初试科目及参考书目

2019哈工大大数据科学与工程考研初试科目及参考书目 一、专业介绍 数据是国家基础性战略资源。社交网络、云计算、物联网、电子商务与移动互联网的快速发展,把人类社会带入一个全新的“大数据时代”。据IDC统计,全球数据总量以每两年翻一番的速度爆发式增长,与此同时催生出大量与大数据处理相关的职位,通过对数据的挖掘分析来影响政府和企事业单位的决策,在国外被称为数据科学家(Data Scientist)。美国职业咨询网站CareerCast公布的2017年最好十项工作中,有四项来自数据分析相关领域,分别为统计学家(Statistician),运筹学分析师(Operation Research Analyst),数据科学家(Data Scientist),数学家(Mathematician)。目前大数据分析在北美地区非常盛行,已成为社会各行业的核心竞争力之一。 2015年9月5日,国务院发布了《促进大数据发展行动纲要》,系统部署了大数据发展工作。《纲要》指出,“鼓励高校设立数据科学和数据工程相关专业,重点培养专业化数据工程师等大数据专业人才。鼓励采取跨校联合培养等方式开展跨学科大数据综合型人才培养,大力培养具有统计分析、计算机技术、经济管理等多学科知识的跨界复合型人才。”这意味着中国大数据发展迎来顶层设计,正式上升为国家战略。

因此,如何应对社会发展的现实需求,如何培养具有多学科知识的跨学科大数据复合型人才已成为我国高等教育亟待解决的问题。大数据科学与工程学科方向是在大数据时代背景下产生的,融合信息技术、统计学与管理学、经济学等学科领域,以借助大数据分析为社会各行业解决问题为主轴,整合相关课程形成的新型课程体系;是以培养能够为政府、企事业单位、集团公司、金融服务公司等提供经济分析、市场调研、情报研究、数据采集整合等信息化服务的高端分析型、管理型和决策型人才为基本目标的新兴专业。 二、考试科目

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

哈工大2011年数电期末试题+答案

哈工大2011 年秋季学期 数字电子技术基础试题(A) 一、(10分)填空和选择填空(每空1分) 1.根据反演规则,若Y=AB C D C +++,则Y=() AB C D C ++?。 2. 图1所示门电路均为TTL门,则电路输出P1=() AB BC AB BC + ;P2=() A C C A C ++。 P2 C P1 图1 3.由TTL门组成的电路如图2所示,已知它们的输入短路电流为 I S= 1.6mA,高电平输入漏电流I R=40μA。试问:当A=B=1时,G1的灌(拉,灌)电流为 3.2mA;A=0时,G1的拉(拉,灌)电流为160μA。 图2 4.3位扭环形计数器的计数长度为 6 。 5.某EPROM有8条数据线,13条地址线,则存储容量为64kbit。 6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z,数据从输入端到达输出端被延迟128 μs。

二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。 BIN /OCT BIN /OCT ( I ) ( II ) B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 图3 解: 114114F m m m m =+= BIN/OCT BIN/OCT ( I )( I I )B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 D A B C 1 F

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

哈尔滨工业大学《统计学》考研大纲_哈工大考研大纲

哈尔滨工业大学《统计学》考研大纲 I考查目标 全国硕士研究生入学统一考试应用统计硕士专业学位《统计学》考试是为高等院校和科研院所招收应用统计硕士生而设置的具有选拔性质的考试科目。其目的是科学、公平、有效地测试考生是否具备攻读应用统计专业硕士所必须的基本素质、一般能力和培养潜能,以利用选拔具有发展潜力的优秀人才入学,为国家的经济建设培养具有良好职业道德、法制观念和国际视野、具有较强分析与解决实际问题能力的高层次、应用型、复合型的统计专业人才。考试要求是测试考生掌握数据收集、处理和分析的一些基本统计方法。 具体来说,要求考生: 1.掌握数据收集和处理的基本方法; 2.掌握数据分析的基本原理和方法; 3.掌握基本的概率论知识; 4.具有运用统计方法分析数据和解释数据的基本能力。 II考试形式和试卷结构 一、试卷满分及考试时间 试卷满分为150分,考试时间180分钟。 二、答题方式 答题方式为闭卷、笔试。允许使用计算器(仅仅具备四则运算和开方运算功能的计算器),但不得使用带有公式和文本存储功能的计算器。 三、试卷内容与题型结构 统计学120分,有以下三种题型: 单项选择题25题,每小题2分,共50分 简答题3题,每小题10分,共30分 计算与分析题2题,每小题20分,共40分 概率论30分,有以下三种题型: 单项选择题5题,每小题2分,共10分 简答题1题,每小题10分,共10分 计算与分析题1题,每小题10分,共10分 III考查内容 一、统计学 1.调查的组织和实施。 2.概率抽样与非概率抽样。 3.数据的预处理。 4.用图表展示定性数据。 5.用图表展示定量数据。 6.用统计量描述数据的水平:平均数、中位数、分位数和众数。 7.用统计量描述数据的差异:极差、标准差、样本方差。 8.参数估计的基本原理。 9.一个总体和两个总体参数的区间估计。 10.样本量的确定。 11.假设检验的基本原理。 12.一个总体和两个总体参数的检验。

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

2019哈尔滨工业大学建筑学考研招生人数,研究方向,考试科目,参考书目,报录比,考研经验

2019哈尔滨工业大学建筑学考研招生人数,研究方向,考试科目,参考书目,报录比, 考研经验 本文将由新祥旭考研简老师对哈尔滨工业大学建筑学专业考研进行解析,主要有以下几个板块:哈工大的介绍,招生人数,研究方向,考试科目,参考书目,报录比情况及哈工大建筑学备考经验等几大方面。 一、哈尔滨工业大学 哈尔滨工业大学,简称哈工大(HIT),位于黑龙江省哈尔滨市,是中华人民共和国工业和信息化部直属、中央直管副部级建制的全国重点大学,位列世界一流大学建设高校、211工程、985工程,入选“2011计划”、“珠峰计划”、“111计划”、“卓越工程师教育培养计划”,为“九校联盟”、中国大学校长联谊会、“卓越大学联盟”、“中俄工科大学联盟”、“中国-西班牙大学联盟”主要成员,设有研究生院,拥有研究生自主划线资格。 二、0813建筑学招生人数,研究方向及考试科目: 0813建筑学 拟招生人数(含推免人数):62 11建筑设计及其理论

①101政治 ②201英语一或202俄或203日 ③355建筑学基础 ④501建筑设计Ⅰ(6小时快速设计) 12建筑技术科学 建筑学、城乡规划学、风景园林学毕业的考生:考试科目: ①101政治 ②201英语一或202俄或203日 ③632建筑构造与结构选型 ④502建筑设计Ⅱ(6小时快速设计) 相近专业考生: 考试科目: ①101政治 ②201英语一或202俄或203日 ③302数学(二) ④887房屋建筑学 13建筑历史与理论

①101政治 ②201英语一或202俄或203日 ③624中外建筑史 ④502建筑设计Ⅱ(6小时快速设计) 14室内设计 考试科目: ①101政治 ②201英语一或202俄或203日 ③355建筑学基础 ④502建筑设计Ⅱ(6小时快速设计) 三、0851建筑学招生人数,研究方向及考试科目:0851建筑学硕士(专业学位) 拟招生人数(含推免人数):28 考试科目: ①101政治 ②201英语一或202俄或203日 ③355建筑学基础 ④501建筑设计Ⅰ(6小时快速设计)

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

哈工大数字电子技术基础习题册2010-答案6-7章

第6章 触发器 【6-1】已知由与非门构成的基本RS 触发器的直接置“0”端和直接置“1”端的输入波形如图6.1所示,试画出触发器Q 端和Q 端的波形。 R d S d Q Q 图 6.1 解: 基本RS 触发器Q 端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“0”时,Q 端和Q 端都等于“1”。d R 和d S 同时撤消,即同时变为“1”时,Q 端和Q 端的状态不定。见图6.1(b )所示,图中Q 端和Q 端的最右侧的虚线表示状态不定。 R d S d Q Q 不定状态 图6.1(b ) 题6-1答案的波形图 【6-2】触发器电路如图 6.2(a)所示,在图(b)中画出电路的输出端波形,设触发器初态为“0”。 Q d S d Q Q R (a) (b) 图6.2 解: 此题是由或非门构成的RS 触发器,工作原理与由与非门构成的基本RS 触发器一样,只不过此电路对输入触发信号是高电平有效。参照题6-1的求解方法,即可画出输出端的波形,见图6.2(c)。 d S d Q R 不定状态 图6.2(c) 【6-3】试画出图6.3所示的电路,在给定输入时钟作用下的输出波形,设触发器的初态为“0”。 “CP Y Z

CP 图 6.3 解: 见图6.3(b)所示,此电路可获得双相时钟。 Q Q CP Y Z 图6.3(b) 【6-4】分析图6.4所示电路,列出真值表,写出特性方程,说明其逻辑功能。 Q 图6.4 解: 1.真值表(CP =0时,保持;CP =1时,如下表) D n Q n Q n+1 0 0 0 0 1 0 1 0 1 1 1 1 2.特性方程Q n+1=D n 3.该电路为锁存器(时钟型D 触发器)。CP =0时,不接收 D 的数据;CP =1时,把数据锁存,但该电路有空翻。 【6-5】试画出在图6.5所示输入波形的作用下,上升和下降边沿JK 触发器的输出波形。设触发器的初态为“0”。 CP J K

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

2020-2021哈尔滨工业大学经济学考研择校、参考书、真题、报录比、考研经验分享

2020-2021哈尔滨工业大学经济学考研择校、参考书、真题、 报录比、考研经验分享 哈尔滨工业大学,是中华人民共和国工业和信息化部直属、中央直管副部级建制的全国重点大学,位列世界一流大学建设高校、985工程、211工程,以理工为主,理、工、管、文、经、法、艺等多学科协调发展。但是经济学科也并不是哈工大的优势学科,但由于哈工大在东北三省的地位,所以哈工大的经济学、金融学在东三省的就业还是可以的,对于家在东北,也愿意留在东北的学生而言,哈工大也是一个不错的选择。 同时,哈工大在深圳还有个深圳研究生院,由于深圳好学校少,所以如果是在哈工大深圳读研,在深圳就业会有一定的优势。哈工大深圳研究生院每年统招的经济学研究生在10名左右,招生名额不多。但分也不高,360分。有的同学会说360分还不高,因为哈工大深圳的试卷是要运回黑龙江省考试院批改的,这个360分放在上海也就差不多330分的水平,也就是个国家线的水平。 2019年哈尔滨工业大学经济学招生目录以及考试科目

哈尔滨工业大学(深圳) 经济学考试科目: ④848管理学原理或849经济学原理或 850运筹学 ④857经济学(含政治经济学、微观经济学、宏观经济学) 哈工大849经济学原理参考书: 2017年的参考书是: 《经济学原理》(微观部分和宏观部分,)(第6版),曼昆著梁小民等译,2012年北京大学出版社出版,PS:这两本是曼昆的初级经济学教材。 2018年的参考书目则是

:《微观经济学》(第八版,平狄克),《宏观经济学》(第十二版,多恩布什)。从18年开始,参考书目从初级变成了中级,这也预示着难度的提升。但是,变化教材的第一年不会考的太难,会给个缓冲期,但是第二年可就不一定了,所以备考的同学们加油! 2019年参考书目 哈工大857经济学参考书: 考研真题 2018年哈尔滨工业大学849 经济学真题 一、名词解释(15 分) 1. 边际替代率 2.消费者福利 3.充分就业 4.帕累托最优 5.经济周期 二、简答题(35 分) 1.作图说明正常品价格上升所引起的替代效用与边际效用 2.家电行业中,每一个商家为了占有更多市场份额,不惜投入广告、改进产品、提升服务,竞争异常激烈,所以家电行业是一个完全竞争行业。说法是否正确?为什么? 3.简述机会成本、沉没成本、边际成本对理性经济人做决策的影响。

哈工大2014年数电期末试题 答案资料

哈尔滨工业大学 2014 学年 秋 季学期 数字电子技术基础 试 题

8. 由TTL门组成的电路如图1-4所示,设逻辑门的输出U OH=3.6V,U OL=0.3V,电压表内阻 为20k /V。当输入ABC = 001,用万用表测出U1= ,U2= ;当输入ABC = 100,测得U1= ,U2= 。 图1-4 二、简答题:(8分) 1. 电路如图2-1(a)所示,设各触发器的初态为“0”。已知电路的输入波形如图2-1(b) 所示,试画出Q1、Q2端的波形。 CP1 2 Q0 CP0 1234567 Q1 Q2 CP1 8 (a) (b) 图2-1 2. 已知某时序逻辑电路的状态转换如图2-2(a)所示,设以Q3为最高位,Q1为最低位。将Q3Q2Q1连接到如图2-2(b)所示的ROM的地址输入端,请在ROM矩阵中实现特定的逻辑电路, 使得电路输出 07 ~ Y Y上获得顺序脉冲(在 07 ~ Y Y上依次产生一个低电平脉冲信号,每个低电平信号占一个时钟周期)。 Q3n Q2n Q1n0 W 1 W 2 W 3 W 4 W 5 W 6 W 7 W 地 址 译 码 器 Q3 时 序 逻 辑 电 路 CP Q2 Q1 BIN/OCT Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 B1 E3 E2 E1 B2 B0 "1" 74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 (a) (b) 图2-2

五、用下降沿触发的JK 触发器和门电路实现图5(a)所示的状态转换图,X 为输入信号,Z 为电路的输出信号。试求:(10分) 1. 说明当X =1时电路的逻辑功能; 2. 请画出该电路的次态卡诺图和输出函数Z 的卡诺图; 3. 写出电路的驱动方程和输出方程,并在图5(b)中画出电路图。 图5(a) 1J 1K C 1Q 1 FF 11J 1K C 1Q 0 FF 0 图5(b)

相关文档
相关文档 最新文档