文档库 最新最全的文档下载
当前位置:文档库 › EDA技术与实验期末复习试题含答案

EDA技术与实验期末复习试题含答案

EDA技术与实验期末复习试题含答案
EDA技术与实验期末复习试题含答案

莆田学院期末考试试卷(A)卷

2011 — 2012 学年第一学期

课程名称:EDA 技术与实验适用年级/专业:09/通信

试卷类别开卷(√)闭卷()学历层次本科考试用时120分钟《考生注意:答案要全部抄到答题纸上,做在试卷上不给分》

...........................

一、填空题(每小题2分,共20分)

1.Verilog 语言电路描述风格灵活,既可以进行行为描述,也可以进行①描述。

2.Verilog 程序除了 endmodule 等少数语句外,每个语句的最后必须有①。

3.每个端口除了要声明是输入、输出、还是双向端口,还要声明其①类型。

4.输入和①端口不能声明为寄存器型。

5.代码中用 always 块来描述逻辑功能,称为①描述方式。

6. ①标识符以符号“\”开头,以空白符结尾,可以包含任何字符。

7. ①型和字符串型常量都是不可综合的。

8. ①型信号可以用作任何表达式的输入,也可以用作assign语句和实例元件

的输出。

9.在表达式中可以任意选中向量中的相邻几位,称为①。

10.向量类向量只能作为一个统一的整体进行操作,在定义时用关键字①说明。

二、单项选择题(每小题2分,共20分)

1.基于 EDA 软件的 FPGA 设计流程下列正确的是______。

A. 原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试

B. 原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试

C. 原理图/HDL文本输入→功能仿真→综合→编程下载→适配→硬件测试

D. 原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试

2.综合是 EDA 设计流程的关键步骤,在下面对综合的描述中,_______是错误的。

A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程

B. 综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结

构相映射的网表文件

C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合

约束

D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是

唯一的

3.低密度 PLD 包括以下四种器件______。

A. JTAG、PLA、VLSI、GAL

B. CPLD、PLA、BMW、GAL

C. FPGA、PLA、CPLD、GAL

D. PROM、PLA、PAL、GAL

4.Cyclone 器件有四个专用的 JTAG 配置引脚,下述正确的是______。

A. TDI 引脚用于配置数据串行输入

B. TDO 用于提供时钟

C. TMS 引脚用于配置数据串行输出

D. TCK 提供控制信号用于测试

5.Verilog 程序具有以下一些共性,错误的是______。

A. 程序由模块构成

B. 每个模块首先要进行端口定义

C. 所有语句最后必须有分号

D. 书写格式自由

6.整数型常量在书写时,以下叙述错误的是______。

A. 较长的数之间可以用下划线分开

B. 当数字不说明位宽时默认值为 16 位

C. x 在 8 进制中代表 3 位 x

D. 整数可以带符号

7.最常用的 variable 型数据变量是______。

A. wire 型

B. integer 型

C. reg 型

D. tri 型

8.进程中的信号赋值语句采用非阻塞赋值方式,其信号更新是______。

A. 立即完成

B. 在进程的最后完成

C. 按顺序完成

D. 都不对

9.大规模可编程器件主要有 FPGA、CPLD 两类,下列对 FPGA 结构与工作原理的描述

中,正确的是。

A. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置

B. FPGA 是基于乘积项结构的可编程逻辑器件

C. FPGA 全称为复杂可编程逻辑器件

D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构

10.电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提

高运行速度(即速度优化),指出下列哪种方法是速度优化_______。

A. 流水线设计

B. 资源共享

C. 逻辑优化

D. 串行化

三、把下面程序补充完整(每空2分,共20分)

以下为流水线方式实现的八位全加器源代码,请把它补充完整。

module qjq8(cout,sum,ina,inb,inc,clk);

output[7:0] sum; output cout;

①[7:0] ina,inb; input inc,clk;

②[7:0] tempa,tempb,sum;

reg tempci,firstco,secondco,thirdco,cout;

reg[1:0] firsts,thirda,thirdb;

reg[3:0] seconda,secondb,seconds; reg[5:0] firsta,firstb,thirds;

always @( ③clk)

begin

tempa=ina; tempb=inb; tempci= ④; end

always @(posedge clk)

{firstco,firsts}=tempa[1:0]+tempb[1:0]+tempci;

firsta=tempa[7:2]; firstb=tempb[7:2]; end

⑥@(posedge clk)

begin

{secondco,seconds}={firsta[1:0]+firstb[1:0]+ ⑦,firsts};

seconda=firsta[5:2]; secondb=firstb[5:2]; end

always @(posedge clk)

begin

{thirdco,thirds}={seconda[1:0]+secondb[1:0]+secondco,⑧ };

thirda=seconda[3:2];thirdb=secondb[3:2];⑨

always @(posedge clk)

begin

{cout,sum}={thirda[1:0]+thirdb[1:0]+thirdco,thirds};end ⑩

四、简述程序的原理,并根据输入信号画出输出端时序图(每小题10分,共20分)

1.输入信号见答题纸

module diyi(RESET,F1,F2); begin F2<=0; j<=0;

input RESET,F1; end else

output F2; begin if(j==2)

reg F2; begin j<=0; F2<=~F2;

reg[7:0] j; end else

always @(posedge F1) j<=j+1;

if(!RESET) end endmodule

2.输入信号见答题纸

module dier(x,z,clk,rst,state);

input x,clk,rst; output z;

output[2:0] state;

reg[2:0] state; wire z;

parameter IDLE='d0,A='d1,B='d2,C='d3,D='d4,E='d5,F='d6,G='d7;

assign z=(state==E&&x==0)?1:0;

always @(posedge clk)

if(! rst) begin state<=IDLE; end

else

casex(state)

IDLE:if(x==1) begin state<=A; end

A:if(x==0) begin state<=B; end

B:if(x==0) begin state<=C; end else begin state<=F; end

C:if(x==1) begin state<=D; end else begin state<=G; end

D:if(x==0) begin state<=E; end else begin state<=A; end

E:if(x==0) begin state<=C; end else begin state<=A; end

F:if(x==1) begin state<=A; end else begin state<=B; end

G:if(x==1) begin state<=F; end

default:state=IDLE;

endcase

endmodule

五、程序设计(每小题10分,共20分)

1.设计一个同步置数、同步清零的计数器,要求上升沿触发,同步清零时低电平有

效。

2.设计一个七人投票表决器,如果超过 4 人赞成,则输出为 1,否则为 0,要求用

for 语句实现。

(注意:以上两小题必须对程序做简单注释。)

莆田学院期末考试参考答案及评分标准

2011 — 2012 学年第一学期(A)卷

课程名称:EDA 技术与实验适用年级/专业:09/通信

试卷类别开卷(√)闭卷()学历层次本科考试用时120 分钟

一、填空题(每小题2分,共20分)

1. ①结构

2. ①分号

3. ①数据

4. ①双向

5. ①行为

6. ①转义

7. ①实数

8. ①wire

9. ①域选择10. ① vectored

二、单项选择题(每小题2分,共20分)

题号 1 2 3 4 5 6 7 8 9 10 答案 D D D A C B C B A A 三、把下面程序补充完整(每空2分,共20分)

①input ②reg ③posedge ④inc ⑤begin

⑥always ⑦firstco ⑧ seconds ⑨end ⑩ endmodule

四、简述程序的原理,并根据输入信号画出输出端时序图(每小题10分,共20分)1.这是一个分频器,频率降为原来的 1/6;原信号 500ns 含有 5 个周期。基本原理与1/2 分频器一样,但是需要定义一个计数器,每三个上升沿变换一次状态,得到准确的分频效果。……………5 分

……………5 分

2.这个程序是一个简单的状态机设计,功能是检测一个 5 位二进制序列“10010”,包

含了8个状态,初始状态用IDLE表示。……………5分

……………5 分

五、程序设计(每小题10分,共20分)

1. module count(out,data,load,reset,clk);

output[7:0] out;

input[7:0] data;

input load,clk,reset;

reg[7:0] out;

always @(posedge clk) ……………5 分

begin

if (!reset) out = 8'h00;

else if (load) out = data;

else out = out + 1;

end endmodule ……………5 分

2. module voter7(pass,vote);

output pass; input[6:0] vote;

reg[2:0] sum; integer i;

reg pass; always @(vote)

begin sum=0; ……………5 分

for(i=0;i<=6;i=i+1)

if(vote[i]) sum=sum+1;

if(sum[2]) pass=1;

else pass=0;

end endmodule ……………5 分

八年级生物下册第7单元第2章现代生物技术单元综合测试新版济南版

第7单元第2章现代生物技术 一、选择题 1. 下列应用实例与必须采用的生物技术,搭配错误的是应用实例生物技术 A. 培养无病毒植株组织培养 B. 制作酸奶发酵技术 C. 培育能产生人生长激素的大肠杆菌基因工程 D. “试管婴儿”的诞生克隆技术 2. 在克隆哺乳动物的过程中,常用到() A.发酵技术B.胚胎移植技术 C.人工授精技术D.转基因技术 3. 科学家成功地把人的抗病毒干扰素基因连接到烟草细胞的DNA分子上,使烟草获得了抗病毒能力。这项技术称为() A.克隆技术 B.嫁接技术 C.组织培养 D.转基因技术 4. 以下有关基因工程的叙述,正确的是() A.基因工程是细胞水平上的生物工程 B.基因工程的产物对人类都是有益的 C.基因工程产生的变异属于人工诱导变异 D.基因工程育种的优点之一是目的性强 5. 实施基因工程的最终目的是() A.定向提取生物的DNA B.在生物体外对DNA进行改造 C.定向分离DNA D.定向地改造生物的遗传性状 6. 可以生产转基因食品的生物是一类() A.提供外源基因的生物 B.转基因动植物 C.获得外源基因的生物 D.转基因微生物 应用实例生物技术 A. 培养无病毒植株组织培养 B. 制作酸奶发酵技术 C. 培育能产生人生长激素的大肠杆菌基因工程 D. “试管婴儿”的诞生克隆技术 8. 下列生物均是在现代生物技术作用下形成的,其中利用的技术与其他不同的是()A.巨型小鼠B.抗虫棉花 C.多利羊D.抗虫烟草 9. 可以创造出地球上原先不存在的生物的技术是 A.无性繁殖 B. 克隆 C .基因工程 D 组织培养. 10. “试管婴儿”技术在生物学上依据的原理是( ) 。A.有性生殖B.无性生殖C.克隆技术 D.基因工程 11. 人奶营养成分的优越性是牛奶永远无法比拟的。最近中国工程院院士李宁教授率领的科研团队将人乳基因导入奶牛中,使之产生人乳化的牛奶。这种生物技术称为A.发酵技术 B.克隆技术 C.转基因技术 D.仿生技术 12. 生物的生殖方式分为有性生殖和无性生殖,下列个体的产生是通过有性生殖形成的是() A.克隆绵羊B.组织培养的小麦幼苗 C.嫁接的柑橘D.试管婴儿 13. 据英国《每日邮报》 2010年12月26日报道,一位英国妇女在1998年通过试管婴儿技术受孕后,于次年产下两女,并将其余受精卵冷冻保存;11年后,她和丈夫成功利用当初保存的受精卵再获一个千金。“试管婴儿”技术在生物学上依据的原理是()。

生物技术心得体会

生物技术心得体会 生物技术实验心得体会 基因克隆技术是分子生物学的核心技术,其目的是获得 某一基因或DNA片段的大量拷贝,用于深入分析基因的结构 与功能,并可达到人为改造细胞以及物种遗传性状的目的。 本论文主要从以下几个方面来介绍基因克隆技术:目的基因 的获得、目的基因和载体的连接、重组分子的扩增和鉴定。 可概括为∶分、切、连、转、选。“分”是指分离制备 合格的待操作的DNA,包括作为运载体的DNA和欲克隆的目 “切”是指用序列特异的限制性内切酶切开载体DNA,的DNA; 或者切出目的基因;“连”是指用DNA连接酶将目的DNA同 载体DNA连接起来,形成重组的DNA分子;“转”是指通过 特殊的方法将重组的DNA分子送入宿主细胞中进行复制和扩 增;“选”则是从宿主群体中挑选出携带有重组DNA分子的 个体。基因克隆技术包括把来自不同生物的基因同有自主复 制能力的载体DNA在体外人工连接,构建成新的重组DNA, 然后送入受体生物中去表达,从而产生遗传物质和状态的转 移和重新组合。 一. 目的基因的获得 目的基因是指所要研究或应用的基因,也就是将要克隆 或表达的基因。获得目的基因是分子克隆过程中最重要的一 步。基因工程流程的第一步就是获得目的DNA片段,。所需

目的基因的来源, 不外乎是分离自然存在的基因或人工合成基因。常用的方法有PCR 法、化学合成法、cDNA法及建立基因文库的方法来筛选 PCR方法 PCR 是一种在体外快速扩增特定基因或DNA。聚合酶链式反应是体外酶促合成特异DNA片段的一种方法,由高温变性、低温退火及适温延伸等几步反应组成一个周期,循环进行,使目的DNA得以迅速扩增,具有特异性强、灵敏度高、操作简便、省时等特点。它不仅可用于基因分离、克隆和核酸序列分析等基础研究,还可用于疾病的诊断或任何有DNA,RNA的地方。 化学合成法制备基因片段 采用DNA合成仪,对目的基因进行分段合成,然后进行连接,可以得到所需的目的基因。 二、重组质粒的构建 DNA体外重组是将目的基因在DNA连接酶作用下,连接到合适的载体DNA上,以便下一步转化之用。重组的DNA分子是在DNA连接酶的作用下,有Mg2 、ATP存在的连接缓冲系统中,将分别经酶切的载体分子与外源DNA分子进行连接。连接反应的温度在37℃时 有利于连接酶的活性。但是在这个温度下粘末端的氢键结合是不稳定的。因此采取折中的温度,即12~16℃,连接

EDA技术试验问答题答案(基本包含)

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA/CPLD在ASIC设计中有什么用途? 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 1-6 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

学习生物心得体会

学习生物心得体会 ????生物有机化学是七十年代发展起来的新兴边缘学科,是有机化学与物理科学以及生物科学等互相渗透、互相融合的产物。 ????生物有机化学:以现代有机合成、结构分析、物理有机化学、分子生物学、细胞生物学、分子药理学为手段,发展具有重要生物活性的有机小分子并研究其与生物大分子的相互作用。具体研究内容包括:1)对具有抗癌、抗炎、抗菌以及神经活性的生物碱、环肽、甾体及糖类天然产物进行全合成,结构-活性关系,及其与靶分子的作用机制研究。2)针对在细胞内外信号传导过程中的一些关键因子如g-蛋白偶联的受体、蛋白激酶以及细胞凋亡过程,发展高活性、高选择性的小分子调节剂并应用于了解生物大分子功能的研究。3)利用单晶-衍射或nmr 技术,研究生物大分子,以及活性小分子与生物大分子复合物的结构和构象,从而探讨活性小分子如药物分子作用的内在机制。4)研究酶,细胞或微生物催化的新反应,酶催化反应的机理,酶的改性等。研究酶或微生物参与的复杂分子的合成机理。 ????我是中药学研究生,主要研究植物药的开发和利用,但是对化学知识的运用非常多,而自己以前主要掌握的是生物方面的知识,对化学的基本知识和技能掌握教少。但让我庆幸的是一门生物与化学的结合学科——生物有机化学开设了。因此我毫不犹豫的选择了这么课。周老师讲课思路清晰,重点突出,善于引导学生思考,激发学生思维,使每个学生都获益匪浅。 ????通过这门课的学习我学到了很多东西,主要的在两个方面,一个是专业知识方面的,另一个就是对我思维能力的培养和对我经验性知识的积累。 ????在专业知识方面的收获我总结了一下几点: ????生物有机化学的主要研究对象是核酸、蛋白质和多糖三大生物大分子化合物及其它参与生命过程的有机分子,它们是维持生命机器正常运转的最重要的基础物质。 ????第一章,蛋白质化学,蛋白质是生物体的基本构成组分,是维持生命活动的重要物质。它通常是由几十种天然存在的氨基酸通过肽键(酰胺键)按各种特定顺序连接而成的生物大分子,具有一级结构、二级结构、三级结构和四级结构。 ????第二章,核酸化学,也就是遗传物质核酸,可分为两类,一种是脱氧核糖核酸(dna),它是兼有储存和传递信息二种功能的高分子聚合物,另一种是核糖核酸(rna),其作用是把特殊的遗传信息转变成特殊的氨基酸指令系列。dna将生物遗传信息rna,再通过rna合成蛋白质,由蛋白质表现出一定生物性状。 ????第三章,糖类化学,糖类化合物又称碳水化合物,它们在自然界中分布广泛,种类繁多,是数

(国产)治疗用生物制品药品临床试验批准_图文(精)

(国产)治疗用生物制品药品临床试验批准 一、项目名称:药品临床试验批准 二、许可内容: (国产)治疗用生物制品药品临床试验批准,包括《药品注册管理办法》附件三注册分类中的内容,即: 注册分类1、未在国内外上市销售的生物制品。 注册分类2、单克隆抗体。 注册分类3、基因治疗、体细胞治疗及其制品。 注册分类4、变态反应原制品。 注册分类5、由人的、动物的组织或者体液提取的,或者通过发酵制备的具有生物活性的多组份制品。 注册分类6、由已上市销售生物制品组成新的复方制品。 注册分类7、已在国外上市销售但尚未在国内上市销售的生物制品。

注册分类8、含未经批准菌种制备的微生态制品。 注册分类9、与已上市销售制品结构不完全相同且国内外均未上市销售的制品(包括氨基酸位点突变、缺失,因表达系统不同而产生、消除或者改变翻译后修饰,对产物进行化学修饰等)。 注册分类10、与已上市销售制品制备方法不同的制品(例如采用不同表达体系、宿主细胞等)。 注册分类11、首次采用DNA重组技术制备的制品(例如以重组技术替代合成技术、生物组织提取或者发酵技术等)。 注册分类12、国内外尚未上市销售的由非注射途径改为注射途径给药,或者由局部用药改为全身给药的制品。 注册分类13、改变已上市销售制品的剂型但不改变给药途径的生物制品。 注册分类14、改变给药途径的生物制品(不包括上述12项)。 三、设定和实施许可的法律依据: 《中华人民共和国药品管理法》、《中华人民共和国药品管理法实施条例》及《药品注册管理办法》

四、收费: 1999年《新生物制品审批办法》和《药品注册管理办法》药品注册分类、收费对比表 注:药品审批收费按一个原料药品或一个制剂为一个品种计收;如再增加一种规格,则按相应类别增收20%审批费。 五、数量限制:本许可事项无数量限制

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

(实习心得体会)生物技术实习心得

生物技术实习心得 1、心态转变。学校的生活养尊处忧,无需我们担忧某些问题,学校三点一线的生活,学习跟得上就可以,而在工作当中就不然,工作中,我们要考虑如何提高工作效率,怎样处理与上级领导、同事的关系,还有在工作当中的不尽人意等事情,这些都要我们以一颗平常心去对待,及时的转变心态会让我们工作更加顺利。 2、计划做事。有了明确的计划,目标才清晰,以至于在工作中不会茫然。在合成部实习的三个多月中,我每天都写工作日志,记录下我要做的事情,然后再总结一下完成状况,日志看似平常,但在无形中提高你做事的效率和工的有序程度。 3、不以事小而不为。做大事小事有不同的阶段,要想做大事,小事情必须做好。我们正是实习的阶段,做一些繁琐的小事情,很有必要。工作中我们每个人干的最多的就是打水、拖地和擦桌子,但我并没有感到烦,而是把它当作我素质培养的大讲堂,正因为这些小事情改变了办公室的环境。这些小事情值得我去做。事情虽小,可过程至关重要。 4、以上是我在实习中的一些体会,同时在实习的过程中我也发现自己还存在一些缺点,如:性子急、愿意推托等毛病,正确的对待自己的缺点和错误,才会使自己的能力提升的更快。 5、三个月的实习生活就要结束了,在理论与实践的磨合中我们显得比来时更加成熟和稳重,我们又多了一些实践经验。在一个竞争激烈,就业困难的环境中,我们先行的这一步已经为我们奠定了一定的基础,在以后的职业实战中,我们会打的更响、更漂亮! 6、一个多月的实习期很快就过去了,美好的东西总是稍纵即失。在此,我要感谢所有为我的实习提供帮助和指导的领导老师们,感谢你们这么多天的照顾和帮助。相信这次珍贵的实习经历会一直伴随着我以后的工作生活。千里之行,始于足下,我会通过这次实习,更加懂得知识和实践的积累,不断充实自己。 1 / 1

生物制品检验技术实验

实验一 生物制品中水分的测定 干燥制品中水分含量的高低,直接影响冻干制品的质量和保存效期。冻干血浆水分含量愈低忿好,能使保存期延长,不易变性。活菌苗含水量过高,易造成活菌死亡或蛋白变性.使制品失效。但含水量过低,能使菌体脱水,同样会造成活菌死亡,降低效力。 方法一 直接干燥法 1、实验原理 基于生物制品中的水分受热以后,产生的蒸汽压高于空气在电热干燥箱重中的分压,使制品中的水分蒸发出来,同时,由于不断的加热和排走水蒸汽,而达到完全干燥的目的,制品干燥的速度取决于整个压差的大小。 2、适用范围 本法以样品在蒸发前后的失重来计算水分含量,故适用于在95~105℃范围不含其他挥发成分且对热稳定的各种冻干制品。 3、样品的制备、测定及结果计算 ①样品必须磨碎,全部经过20~40目筛,混匀。在磨碎过程中,要防止样品水分含量变化。一般水分在14%以下时称为安全水分,即在实验室条件下进行粉碎过筛等处理,水分含量一般不会发生变化。但要求动作迅速。制备好的样品存于干燥洁净的磨口瓶中备用。 ②测定时,精确称取上述样品2~10 g (视样品性质和水分含量而定),置于已干燥、冷却并称至恒重的有盖称量瓶中,移入95~105℃常压烘箱中,开盖2~4小时后取出,加盖置干燥内冷却0.5小时后称重。再烘1小时左右,又冷却0.5小时后称重。重复此操作,直至前后两次质量差不超过2mg 即算恒重。 ③测定结果按下式计算: 水分(%)= % 式中m 1 ----------干燥前样品于称量瓶质量,g m 2 ---------干燥后样品与称量瓶质量,g m 3 --------- 称量瓶质量 , g 4、 操作条件选择 操作条件选择主要包括:称样数量,称量皿规格,干燥设备及干燥条件等的选择. ①称样数量:测定时称样数量一般控制在其干燥后的残留物质量在1.5~3g 为宜。对于水分含量较低的生物制品,将称样数量控制在3~5g 。 ②称量皿规格:称量皿分为玻璃称量瓶和铝质称量盒两种。前者能耐酸碱,不受样品性质的限制,故常用于干燥法。铝质称量盒质量轻,导热性强,但对酸性食品不适宜,常用于减压干燥法。称量皿规格的选择,以样品置于其中平铺开后厚度不超过皿高的1/3为宜。 1003 121?--m m m m

EDA技术期末试卷含答案资料

精品文档 一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条 件相或的逻辑电路 C.三态控制电路是A.QuartusIIAltera提供的FPGA/CPLD集成开发环境D.双向控制电路 10.在VHDLAlteraB.是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。 A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then 前一代C.MAX+plusII是AlteraFPGA/CPLD集成开发环境QuartusII的更 C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then 11.下列那个流程是正确的基于.DQuartusII完全支持VHDL、Verilog的设计流程EDA软件的FPGA / CPLD设计流程 B A.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试开发工具中的专用综合器的是2.以下工具中属于FPGA/CPLD B B.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .Active HDL D.QuartusII Leonardo Spectrum .AModelSim B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;.3以下器件中属于Xilinx 公司生产的是 C /HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试 D.BMAX系列器件.原理图A.ispLSI系列器件 )语句的语句结构及语法规则语言中,下列对进程(PROCESS系列器件 C.XC9500系列器件D.FLEX 12.在VHDL 。A 的描述中,正确的是以下关于信号和变量的描述中错误的是4. B 为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线PROCESSAA .信号的定义范围是结构体、进程B 成后,等待下一次进程启动C.除了没有方向说明以外,信号与实体的端口概念是一致的B.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成D.当前进程中声明的变量也可用于其他进程以下关于状态机的描述中正确的是5. B B MooreA.型状态机其输出是当前状态和所有输入的函数13.下列语句中,不属于并行语句的是 语句B.CASE 型的输出变化要领先一个时钟周期型状态机相比,.与BMooreMealy A.进程语句 …语句…ELSE D.WHEN .元件例化语句MealyC.型状态机其输出是当前状态的函数 C 设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的14.以上都不对D .VHDL B 下列标识符中,.库是不合法的标识符。6 END BPP0 A...D .CNot_Ack sig D

生物实验心得体会

实验心得体会 在真正投入到创新实验计划当中之前,我以为不会很难。因为课内实验我们也做了很多,只要做好预习工作,好好听老师的讲解,再加上自己多动脑筋,几乎没遇上什么比较大的困难,实验完成起来也比较快。各种各样的实验加起来,涉及的知识面很广,学到了很多,让自己对于这样的研究与实验工作也更加感兴趣。 但是真正开始创新实验计划时,发现我仿佛进入了一个新的空间。一切要从头学起,从最简单的做起。与高年级的学长比起来,自己的基本实验技能与专业知识少的可怜,面对那些精密的仪器与无数的文献资料,信心一下子被浇熄了大半。每天跟在学长后面做着清洗瓶子,到扫卫生,摘桑叶,诸如此类的体力活。貌似什么也学不到,看着学长学姐一言不发的熟练操作,却一点也摸不到头脑。 有时真的懊恼的有些想泄气,但幸亏老师常常与我们开会讨论,开导鼓励我们,他还时常有意无意地启发我们的安全防范意识。古语说的没错:耳濡目染。一天天下来,不知不觉当中,我们的实验技巧越来越熟练,对于一些仪器的基本操作也能单独上手,学长学姐很有耐心地一次次纠正我们犯下的或大或小的错误,并不厌其烦的叮嘱我们注意安全。 渐渐地我们可以单独完成一些比较系统全面的实验工作。但错误当然也是不可避免的,而且人往往要犯错之后才能明白如何不犯错和为什么不要犯下这样的错误。比如因为我们某一步的实验操作不规范,导致最后的实验结果不尽入人意,无法纳入最后的总结分析中,也就是说我们白忙活一场。其实这样的失败也未尝不是一件好事,通过它我们更加清晰地认识到这个实验步骤的原理、影响及具体细节。 重复这是整个实验过程中常做的一件事,面对规律性不强的实验结果,我们只有一次次反思,重新再来,如果一而再再而三的重复失败,我们就只得求助于学长学姐和老师们了,但是这样具体的操作细节中失误,非当事人又是无法完全了解的,还是需要我们自己一点一点的去摸索。 当然整个实验过程中最困难的还要数自行设计实验的具体步骤了,老师所能给的知识一个全面概括的指导意见,让我们不致发生方向性的失误。老师也给了我们一些相关的文献资料,但同样的道理,具体到某一方面我们还是要自己去搜索,筛选,概括。有时甚至要面对一些英文文献,仅凭我们已有的英文水平还过于单薄。困难就是让人来解决的。我们摸索前进,自己跑到机房查资料,下载翻译软件,制定实验方案,阅读大量晦涩难懂的文献,失败了就再来一次,总结后再勇往直前。困难一个接着一个,但既然选择了这条路,我们就要毅然决然的走下去,不管后面的路是沼泽泥泞还是荆棘丛生。 终于我们的实验数据慢慢变得有规律起来,面对棘手的麻烦我们也能镇定自若,实验的因素探索一个个完成,一点点接近于目标。回望之前,发现与取得的成绩,获得的知识相比,以前都算不了什么。 不得不承认,通过这项本科实践创新训练项目,我们学到了很多,得到了很多,有些是书本上永远也学不来的,有些仅靠我们自己摸索几乎为不可能的,有些仅凭我们自行监督是无法坚持下来的。 在这里要感谢关心爱护我们的老师,学长学姐还有同届同学以及学弟学妹,没有你们我们无法完成这项艰巨的工作。

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

细胞生物学心得体会

精心整理细胞生物学心得体会 舒斌水产301402 细胞生物学是现代生命科学的重要基础学科,它联系着生物科学的许多分支学科,尤其是与分子生物学、遗传学、生物化学等学科联系密切.从1665年英国人胡克发现第一个植物细胞后,历经170多年的研究探索,科学家们创立了被认为是19世纪的三大发现之一的细胞学说,细胞学说的创立对细胞学的发展起着极大的推动作用,在19世纪的最后25年的时间里,人们相继发现了有丝分裂、无丝分裂、减数分裂等细胞生命现象,同时还发现了染色体和多种细胞器,这段时间是细胞学的经典时期.1876年,O.Hertwig等发现了动物细胞的受精现象,于是实验细胞学得以迅速发展,人们广泛应用实验手段与分析方法来研究细胞学中的一些根本问题,于是 ,大大 年代随着分子 高. 1 种类型, ,(IP3PKG 2 ,具一级 3 , ,MPF 的活性达到最高峰.CDK通过对其底物丝氨酸和苏氨酸的磷酸化和去磷酸化进行调节.细胞周期中有3个关键的控制点;G1关卡、G2关卡、中期关卡.促后期复合物(APC)介导细胞周期蛋白降解使细胞退出有丝分裂. 哺乳动物细胞受多种CDK和多种Cyclin的调控,裂殖酵母只有一种CDK和一种Cyclin,芽殖酵母有一个CDK和多种Cyclin. 另外,对生物膜流动性的机理和功能上也有进一步的了解,科学家们发现了越来越多的参与跨膜运输的蛋白质种类,并对其作用机制研究得越来越深入.对细胞骨架体系的组成和装配机制有了更深入的理解,认识了分子发动机的概念.学习了核酶一节后,认识到并非所有的酶都是蛋白质,核酶的作用与蛋白酶的作用机制也有一定的差别.对目前的热门研究领域:程序性细胞死亡、癌细胞的发生机理及控制也有了一定的了解和认识.

(完整版)EDA技术考试试题B及详细答案

《EDA技术》第二学期期末试题B 卷号:B 时间:120 分钟2008 年6 月 专业:电子信息工程学号:姓名: 一、填空题(20分,每题2分) 当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的()语言成为了IEEE. STD_1076标准,并在全世界得到了承认。 载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。 零件封装是指()。 EDA技术也称(),是在( )技术的基础上发展起来的计算机软件系统。 目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是:(),( ),LATTIC 。 顺序描述语句中,()在MAX-PLUS中不被支持。 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。其中常数对应代表数字电路中的电源和接地等。信号对应物理设计中的()。 FPGA可分为两大类,分别是SRAM-BASE和Anti-Fuse 设计时一般选用()。100mil=()mm,7.62mm=( )mil。 PCB封装元件实际上就是()。 二、名词解释题(20分,每题4分) 1 PLD/FPGA 2.过孔 3.铜膜线 4 PROM、PAL和PLA 5 自顶向下的/自下而上的设计方法 三、选择题(15分,每题3分) 1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() PgUp Tab Space bar Esc 2.Design/Options菜单中下列选项不属于开关选项的是:() A.Snap Grid B.Hidden Pins C.Electrical Grid D.Title block 3.下列不属于VHDL基本程序结构是() A..CONFIGURATION定义区 B..ARCHITECTURE定义区 C.USE定义区 D.ENTITY定义区 4.下列关于VHDL中信号说法不正确的是:()

《环境生物学及现代生物技术实验》教案

实验六、植物种群自疏的内因和外因效应 一、目的 通过阅读文献材料,学习验证型实验设计、结果表达和分析的方法。掌握通过测定不同栽培密度和不同盐度的因子作用下,影响植物种群生长自疏效应的内因和外因的表达方式和强度。 二、原理 生态系统的结构与功能受到来自系统内部和外部的调节与控制,如森林植被过密时会产生自疏作用,间伐后的疏林会很快重新郁闭;生态系统中各营养级间生物的数量关系常常相对稳定等。这类受控的稳态是生态系统借助生物与环境的相互作用,达到稳态的作用结果。 种群在一定的生境条件下,种群数量会增长,但是并不是无限制的增长,会受到种群最大容量的制约,种群内部个体间的竞争会产生自疏作用使种群维持在一定的水平。自疏作用为种内斗争和资源供给状况的综合反映,森林植物、藤壶等是自疏效应的明显例子。 本实验将开展经济作物红麻(Kenaf,Hibiscus cannabinus)的模拟栽培试验。通过设定不同栽培密度,对其进行短时间的栽培试验记录,评价种群密度(内因)对种群自疏效应的影响。 三、材料与仪器: 实验材料:实验室将提供统一购置的红麻种子。种植所需土壤在校区内采集。 仪器:塑料盆4个/组、电子天平、烘箱、标签纸、记号笔等。 试剂: 海盐。 四、实验内容 4.1 试验设计 每个组自行设定不同的幼苗种植株行距处理3组,进行栽培和浇灌。

4.2 观察记录 八周内,每周固定时间对每盆内的作物幼苗进行观察,记录每棵植株的叶片数,以及每个处理的存活株数,每次每个处理需拍照记录1张。 4.3 生物量的测定 第八周将所有植株个体收获,小心地洗净,去除叶片、根上的砂粒泥土,放入烘箱烘干24h后,分成地上部和根部,进行称重。 4.4 分析数据,撰写报告 记录描述不同时间、不同处理的作物幼苗个体叶片数、存活率,以及八周内的生物量积累情况。

ICH Q6B 生物技术产品和生物制品的检验方法和可接受标准

Q6B 生物技术产品和生物制品的检验方法和可接受标准 1.绪论 1.1目的 本指南尽可能地提供了一套统一的制定和进行生物技术产品和生物制品的国际规格合理性评价的基本指南,以支持新的上市申请。 1.2背景 规格定义为由一系列检查项目、引用的分析方法和相应的可接受标准组成,可接受标准可以是数值限度、范围或其它检查项目描述的标准。规格制订了一套与其用途相适应的原料药、制剂和在生产其它阶段所用物料应遵循的标准。“符合规格”是指原料药和制剂,按所列分析方法进行检查,会符合可接受标准。规格是关键的质量标准,由生产商提出并做合理性评价,作为批准(药品)的条件,由药政管理机构批准。 规格是保证产品质量和一致性总控制策略的组成部分。总控制策略的其它方面包括在开发阶段依据标准对产品进行全面的特征化、坚持按GMP要求生产、验证的生产工艺、原料检验、生产过程检验和稳定性试验等。 规格用以确保原料药和制剂质量,而不是确定产品的全面特性。因此,规格的制定应着重研究在保证产品的安全性和有效性方面有意义的分子结构和生物学特性。 1.3适用范围 本指南采纳和解释的基本原则将适用于蛋白质、多肽及其衍生物和含有这些成分的产品(如结合物)。这些蛋白质和多肽是由基因重组或非重组的细胞培养表达系统生产,能被高度纯化,并用一套合适的检验规程进行鉴定。 本指南概括的基本原则也可能适用于从动物组织或体液中分离的蛋白质和多肽。为了确定它的适用性,生产商应与有关的管理机构协商。 本指南未包括抗生素、合成的肽和多肽、肝素、维生素、细胞代谢物、DNA产品、过敏源提取物、常规疫苗、细胞制品、全血和血细胞成分。对于化学物质,在ICH的另一指南“Q6A规格:新原料药和制剂的检验方法和可接受标准:化学物质”中有专门介绍。 本指南不介绍具体的检验方法和可接受标准,也不适应于临床前和/或临床研究的样品。2.制定质量规格应考虑的原则 2.1鉴定 采用适宜的技术,生物技术产品和生物制品的鉴定,包括物理化学性质、生物活性、免疫化学性质、纯度和杂质测定,需要制定相关的标准。可接受标准的制定和合理性评价,应依据临床前和/或临床研究中所用批号获得的数据、证明生产一致性所用批号的数据、稳定性研究数据和相关的开发数据。 在产品开发阶段,和必要时生产工艺的重大改变,需要对产品进行详尽的鉴定。在申报时,如合适,产品应与合适的参考标准进行比较;如有可能,最好将产品与其天然品进行比较。生产商在申报时应制订经过标化的内控参照物质,以供产品批号的生物学和物理化学检测。新的检验技术在不断发展,现有的分析方法在不断改进,应合理采用。 2.1.1物理化学性质 对拟定产品的物理化学性质的鉴定程序,一般包括组成测定、物理性质和一级结构测定。有时通过合适的物理化学方法,可获得制定产品更高级结构的信息(可通过其生物学活性推测其可靠程度)。 由于蛋白质是由活的生物体生物合成的,它会出现一定程度的结构性的异质体(heterogeneity),因此,拟定产品可能是预期的遗传转译后修饰的各种形式的混合体(如糖蛋白)。这些形式可能有活性,它们的存在也许不会对产品的安全性和有效性产生不利影响

EDA试题库

EDA试题库建设 [70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。] 基础题部分 填空题(140空) 1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。 2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。 3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(功能仿真)。4.VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。 5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。 6.以EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完成硬件设计和验证。7.MAX+PLUS的文本文件类型是(.VHD)。 8.在PC上利用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。9.VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。 10.常用EDA 的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。 11.在VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。 12.将硬件描述语言转化为硬件电路的重要工具软件称为(HDL 综合器)。 13、VHDL 的数据对象分为(常量)、(变量)和(信号)3 类。 14、VHDL 的操作符包括(算术运算符)和(符号运算符)。 15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。 16、VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。 17、VHDL 同或逻辑操作符是(XNOR)。 18、原理图文件类型后缀名是(.GDF),Verilog HDL语言文本文件类型的后缀名是(.V )。 19、十六进制数16#E#E1对应的十进制数值是(224)。 20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、(程序包应用说明)和(实体和结构体说明)。 21、VHDL 不等于关系运算符是(/= )。 22、STD_LOGIC_1164程序包是(IEEE )库中最常用的程序包。 23.文本输入是指采用(硬件描述语言)进行电路设计的方式。 24.当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(verilog)。 25.采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。 27.EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。 28.将硬件描述语言转化为硬件电路的重要工具软件称为(综合器)。 29.用MAX+plusII输入法设计的文件不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的(工程)。 30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(block diagram/Schematic)命令方式。

现代生物技术考题

江苏省南通市2009届高三生物最后冲刺之三 专题三现代生物科技专题 一、选择题 1.以下说法正确的是() ①发酵工程获得优良菌种的方法有:诱变育种、杂交育种、细胞工程等 ②吞噬细胞和效应B细胞都能识别抗原 ③紫茉莉遗传物质的载体是染色体、线粒体、叶绿体 ④发生过敏反应时,往往会使细胞损伤、破坏和引起支气管痉挛等 A.①②③④B.③④C.②④D.③ 2.如图,在一定时间内使某种动物细胞吸收放射性同 位素标记的氨基酸依次先后出现在图中1、2、3、4、 5部位。在这一过程中分泌蛋白通过的生物膜磷脂分 子层数是() A.4层 B.3层 C.2层 D.0层 3.下面对生物工程的应用成果说法正确的是() ①用白血病患者细胞中分离出的癌基因制备DNA探针可检测肝炎 ②鸡蛋白基因可在大肠杆菌或酵母菌中表达出卵清蛋白 ③在单抗上连接抗癌药物可制成定向消灭癌细胞的“生物导弹” ④利用发酵工程可获得大量微生物的代谢产物即单细胞蛋白 A.①②B.③④C.②③D.①④ 4.运用下列各种细胞工程技术培育生物新品种,操作过程中能形成愈伤组织的是() ①植物组织培养②植物体细胞杂交⑧动物细胞培养④转基因植物的培育 A.①②⑧ B.①②④ C.①⑧④ D.①②⑧④ 5.原核生物中某一基因的编码区起始端插入了一个碱基对。在插入位点的附近,再发生下列哪种情况有可能对其编码的蛋白质结构影响最小() A.置换单个碱基对 B.增加4个碱基对 C.缺失3个碱基对 D.缺失4个碱基对 6.某同学在学习“细胞工程”时,列表比较了动植物细胞工程的有关内容,你认为错误的 7.有三个盛放葡萄糖液的密封瓶,已知一瓶混有酵母菌,一瓶混有乳酸菌,一瓶只有葡萄糖,

相关文档
相关文档 最新文档