文档库 最新最全的文档下载
当前位置:文档库 › m序列扩频码发生器及伪码特性研究要点

m序列扩频码发生器及伪码特性研究要点

m序列扩频码发生器及伪码特性研究要点
m序列扩频码发生器及伪码特性研究要点

论文题目:m序列扩频码发生器及伪码特性研究

专业名称通信与信息系统

学生姓名张伟

学号119908007

2012年07月11日

摘要:扩频码设计是扩频系统的关键技术,利用计算机实现伪随机码的生成和性能评估是扩频系统设计的必由之路。本文采用simulink仿真设计m序列发生器,并基于MATLAB平台编制了m序列的生成及性能评估程序,程序具有友好的用户接口。可以直接读取文献中提供的八进制本原多项式,随即输出所需扩频码并进行自相关性和互相关性指标的评估分析。仿真结果验证了该方法的正确性、先进性和简洁性。

关键词:扩频码;MATLAB;m序列发生器;相关特性

Abstract: The design of spread spectrum code is the key technology of spread spectrum system, while the computer aided design is the only way of spread spectrum code design. Based on the simulink tool to design m-sequence generator, and Using MATLAB software, the generation and analysis programs for m-sequence is given. The programs serve as a friendly, feasible environment for users. The loctal primitive polynomial can be read by computer directly, and subsequently, the computer outputs the performance evaluation results of the code.The simulation results show the correctness, superiority and conciseness.

Key words:Spread spectrum code; MATLAB; m-sequence generator; related performance

1 引言

扩频系统通过信息与扩频码相乘来实现扩频。扩频系统的抗干扰、保密、多址、 捕获与跟踪等都与扩频码的设计密切相关[2],因此扩频码的生成和性能评估是扩频系统的关键核心技术,决定了系统的性能甚至成败。扩频系统对扩频序列的要求是:①尖锐的自相关特性,既每个扩频序列的自相关函数应该是一个冲激函数,即除零时延外,其值应处处为0;②每对扩频序列的互相关函数值应该处处为0尽可能小的互相关值;③足够多的序列数;④序列平衡性好;⑤工程上易实现。根据随机序列的特点,我们发现用纯随机序列作扩频码是最理想的。随机序列是具有白噪声统计特性的信号,可克服多径干扰实现有效和可靠的保密信息传输。但真正的随机序列是没有周期,无法复制的。更重要的是,这种不可复现性使得扩频通信无法完成。因为在扩频通信系统的接收机中为了解扩应当有一个同发送端扩频码同步的副本,系统必须复制出当初扩频时的那个扩频码,这样才能剥离载波,还原信息[3]。因此,在实际扩频通信中只能使用有周期的伪随机序列作为扩频码。伪随机序列一方面它是可以预先确定的,并且是可以重复地生产和复制的,一方面它又具有某种随机序列的随机特性。伪随机序列系列具有良好的随机性和接近于自噪声的相关函数,并且有预先的可确定性和可重复性。这些特性使得伪随机序列得到了广泛的应用,在扩展频谱系统中,常使用伪随机码来扩展频谱。扩频码中应用最广的是m 序列、Gold 序列,其它还有、截短m 序列、M 序列以及L 序列和霍尔序列等。下面着重介绍一下m 序列。

2 伪随机序列

通常产生伪随机序列(PN, Pseudo-random Number)的电路为一反馈移位寄存器,一个N 级反馈移位寄存器由两部分组成:移位寄存器和反馈函数f 。移位寄存器是一个位序列,每生成一位时,移位寄存器中所有的位都向右移一位,移出的位就是输出结果,左边空出的位由反馈函数对其他位进行运算后的结果填充。如图1所示。图中X 1……X n 从左到右依序叫第1级,第2级,…,第n 级移位寄存器,反馈函数f 的输入端通过系数c 1……c n 与移位寄存器的各级状态相联(c n =0断或=1通),输出通过反馈线作为X 1的输入。移位寄存器在时钟的作用下把反馈函数的输出存入X 1,在下一个时钟周期又把新的反馈函数的输出存入X 1而把原X 1的内容移入X 2,依次循环下去,X n 不断输出。当反馈函数是线性函数时,该反馈移位寄存器就叫线性反馈移位寄存器,否则为n 级非线性移位寄存器。

图1 移位寄存器序列发生器

X 1

X 2

X 3

X n

c 1 c 2 c 3

c n f(x 1, x 2, x 3, ...... x n )

输入

输出

……

图2是一个4级反馈移位寄存器,n=4,

图2 4级反馈移位寄存器

因为反馈函数f =X 1⊕X 4,是线性函数,所以图2是线性反馈移位寄存器。设初态为:1、1、l 、1,则移位寄存器(X 4)输出为24-1=15个:111101*********,意味着X 1X 2X 3X 4所经历的状态数为24-1,不难看出,若输人全为"0",则移位后仍然为"0",此种情况应避免,即把除全"0"以外的状态全部穷尽,此时我们称移位寄存器15个输出:111101*********为最大长度线性反馈移位寄存器序列(简称m 序列)。因此除全"0"状态外,只剩下24-l=15种状态可用。

3 m 序列的性质

由以上分析我们可以得到m 序列的性质: 1) m 序列的穷尽,n 级m 序列的长度为N=2n -l 。

2) 均衡性:在m 序列中,“l”和“0”的数目基本相等,“1”的个数比“0”的个数多l 。 3) 游程分布:序列中取值相同的那些相继的元素合称为一个“游程”。游程长度: 游程中元素的个数。m 序列中,长度为l 的游程占总游程数的一半;长度为2的游 程占总游程的1/4,长度为k 的游程占总游程数的2-k 。且长度为k 的游程中,连0与连l 的游程数各占一半。

4) 线性相加特性:一个m 序列与该序列的任意位相移后的序列模2加后仍为具有某种相移的该m 序列。

5) 自相关函数是周期性的,双电平。自相关函数来表示信号和他自身相移以后的相似性,设长为n 的编码中码元只取+l 、-1,码组X i 和X i 自身相移以后的码组X i+j

之间的自相关系数定义为:j i n

i i x x +=∑=1

r p 1(j)ρ

由m 序列的性质,移位相加后还是m 序列,因此0的个数比1的个数少1个[4]。

所以自相关函数定义为: j=0

j= 1,2,……p -1, p=2n -1 -1/p )j (j =≠R i 时,当

可见m 序列自相关函数只有两种取值(1和-1/p),m 序列的自相关函数如图3所示。在扩展频谱系统中,就很容易地判断接收到的信号和本地产生的相同信号复制品之间的波形和相位是否完全一致。自相关峰值在t=0时出现,自相关函数在±t 0/2范围内呈三角形。t 0为脉冲宽度。而其他延迟时,自相关函数值为-1/2n -1,即码位长的倒数取负值。

输入

X 1

X 2

X 3

X 4

c 1 c 4 ???

??-=p j R 11

)(

R (j )1

123-1

-2-3-P P -1P

j

图3 m 序列的自相关函数

6) 功率谱密度:对上述自相关函数进行傅立叶变换,得到m 序列的功率谱密度[4]:

)(1)T n 2-(2//p)T sin(1)(20

-02

002ωδπωδωωp p wT p p P n n s +?

?

?

???+=∑∞≠∞

= 当∞→∞→00m /T T ,,可以看到m 序列的噪声功率谱密度为近似白噪声功率谱。 7) 伪噪声特性:由于m 序列的均衡性、游程分布、自相关特性和功率谱与随机序

列的基本性质很相似,所以m 序列分布无规律,具有与白噪声相似的伪随机特性。

4 m 序列发生器的结构

在设备中,m 序列可以用硬件产生,也可以用软件产生。在硬件中可使用反馈 移位寄存器产生m 序列,一般的线性反馈移位寄存器如图4所示,它由n 位移位寄存器加异或反馈网络组成,其序列长度N=2n -1,只有一个多余状态即全0状态,所以称为最大线性序列码发生器。图中n 个寄存器,从左到右依序叫第l 级,第2级,…,第n 级寄存器。开始时,设第l 级内容是a n-1,第2级内容是a n-2,…,第n 级内容是a 0,若寄存器的初始状态是(a 0,a 1,…, a n-1),每加上一个脉冲时,每个寄存器的内容移给下一级,第n 级内容输出,同时将各级内容送给运算器f(x 0, x 1,…,x n-1),并将运算器的结果a n =f(a 0,a 1,…,a n-1)反馈到第一级去。这样这 个移位寄存器的状态就是(a 1,a 2,…,a n ), n 级移位寄存器的输出就是一个2元(或q 元)序列:a 0,a 1,a 2,…。如果改变寄存器的初始状态,可得到不同相移的m 序列。

图4 m 序列发生器结构

按图4的连线关系,可得

a n-1 a n-2

a n-3 a 0

初始值

输出值

c 0

c 1

c 2

c n

m 序列的递推方程[4]

: ∑==n

i i k i n a c 1

-a

c i ∈(0, 1),它的取值决定了反馈函数的结构。 m 序列的特征方程[4]:

∑==+++=n

i i

i n

n x c x c x c c x f 0

10)(

式中x n 的取值只表明系数c n 的取值(0或1),其本身并无实际意义。特征多项式的系数c 0,c 1,c 2,c 3,…,c n ,与反馈连接系数c 0,c 1,c 2,c 3,…,c n ,一一对应。所以,研究m 序列的反馈连接系数问题就转化成从数学上研究特征多项式的特性。

特征多项式与输出序列的周期有密切关系.当f(x)满足下列三个条件时,就一定能产生m 序列:

(1) f(x)是既约的,即不能再分解多项式; (2) f(x)可整除l+x m ,这里m=2n -1;

(3) f(x)不能整除1q x +,这里q

如果一个n 级反馈移位寄存器的特征多项式为本原多项式,则该反馈移位寄存器生成的随机序列具有最大的周期为2n -l 。因此,只要找到反馈移位寄存器的本原多项式,并以此为反馈函数,序列发生器就能产生m 序列。根据该推论,先求本原多项式,确定系数c 0,c 1,c 2,c 3,…,c n ,中哪些为0,哪些为l ,即可得到反馈连接方式。

由于产生m 序列的反馈移位寄存器结构已定型,且反馈函数和连接形式都有一定的规律,人们根据公认的规律将反馈函数F 和移存器位数n 的对应关系列表表示,因此利用查表的方式就可以设计出m 序列码。表1列出了部分m 序列码的反馈函数F 和移存器位数n 的对应关系[5]。如果给定一个序列信号长度N ,则根据N=2"-l 求出n ,由n 查表便可以得到相应的反馈函数F 。

例如,要产生N=255的m 序列,首先根据M=2n -1,确定n=8,再查表可得反馈函数F=8321Q Q Q Q ⊕⊕⊕

表1 反馈函数F

n 12-=n M

反馈函数F

3 7 31Q Q ⊕,

3

2Q Q ⊕ 4 15 4341,Q Q Q Q ⊕⊕ 5 31 5

352,Q Q Q Q ⊕⊕

6 63 6

1Q Q ⊕

7

127

7

371,Q Q Q Q ⊕⊕

8 255 8321Q Q Q Q ⊕⊕⊕

9 511 94Q Q ⊕ 10 1023 10

7Q Q ⊕

11 2047 112Q Q ⊕ 12

4095

12

541Q Q Q Q ⊕⊕⊕

5 m 序列反馈移位寄存器仿真模型

图5为基于MATLAB/Simulink 的产生m 序列反馈移位寄存器仿真模型。

Zero-Order Hold

z 1Unit Delay7

z 1Unit Delay6z 1Unit Delay5

z 1Unit Delay4

z 1Unit Delay3

z 1Unit Delay2

z 1Unit Delay1

z 1Unit Delay

simout To Workspace

B-FFT

Spectrum Scope

XOR

Logical Operator

图5 m 序列产生器实现框图

本文利用本原多项式101110001(式中1代表寄存器有反馈,0则代表无反馈)构建产生周期为255的m 序列反馈移位寄存器。

单位寄存器(Unit Delay ):将信号进行寄存,在时钟到来时,将寄存的信号传递给下一个寄存器。

逻辑器件(Logical Operator ):将从寄存器反馈的信号进行异或逻辑运算,再传递给第一个寄存器。

零阶采样保持(Zero-Order Hold ):与频谱仪的采样时间保持一致,保证频谱仪可以正常工作。

频谱仪(Spectrum Scope ):可以观察到出入信号的频谱。 返回工作空间(To Workspace ):将产生的二进制m 序列返回MATLAB 的工作空间,方便进行类似求相关函数的信号处理。

6 m 序列的Matlab 实现

实际工程中应根据不同的系统要求,设计不同的扩频码。随着扩频速率的不断 提高,扩频码的长度急剧增加,使得设计扩频码并验证其各项指标成为一件极为繁琐、工作量巨大的工作。目前在伪随机码设计中已普遍采用计算机作为辅助设计工具[3],本文基于MATLAB 平台产生了255位的m 序列,并对m 序列的自相关特性和互相关特性进行了仿真与分析。

由前面分析知,只要找到反馈移位寄存器的本原多项式,并以此为反馈函数, 序列发生器就能产生m 序列。根据系统需求的m 序列的码长,在本原多项式表中,确定特征多项式f(x),f(x)一般以8进制表示,例如可以产生255位码长m 序列的特征多项式八进制表示如下:[435],[551],[747],[453],[545],[537],[703],[543]共8个,将八进制表示的特征多项式转换为二进制(如表2所示)后,可以得到反馈系数和本原多项式。

表2 八进制转换为二进制

八进制 5 4 5 二进制 101 100 101 系数

c 8c 7c 6

c 5c 4c 3

c 2c 1c 0

例如:对应的本原多项式为:8652x 1f(x )x x x ++++=。

基于Matlab 的自编函数m_seq [3],可以直接读取本原多项式表中的8进制数,就能得出m 序列,而不需将f(x)由八进制转换为二进制后,再进行m 序列的产生,从而简化了人工计算的步骤。

下面以自编函数m_seq [3]为基础产生m 序列,进行分析: 主函数源代码如下: clear;clc;close all %主函数

% prim_poly=[0 0 0 1 1 1 0 1]; %特征多项式 prim_poly= ;%具体给出的8进制数据 m_out=m_seq(prim_poly); for n=1:1:10*length(m_out) x(n)=n/10;

t2(n)=int16(ceil(x(n))); y(n)=m_out(t2(n)); end

plot(x,y)

ylim([-0.1,1.1]);

保存为main.m ,运行后可得返回结果。

自编函数m_seq 源代码如下[7]:

function mseq=m_seq(prim_poly)%函数声明 fbconnection=de2bi(oct2dec(prim_poly));

%de2bi---转换十进制为二进制; oct2dec---八进制转换为十进制 %以上实现8进制本原多项式直接转换成2进制

fbconnection=fbconnection(end-1:-1:1); %2进制本原多项式位数顺序颠倒。即从2进制数组的倒数第二位开始,反向生成新的数组。

n=length(fbconnection); %length(z)表示求出z 元素的个数,这个函数表示求出fbconnection 的元素个数

N=2^n-1;

register=ones(1,n); %n级移位寄存器赋初值全"1",ones表示赋值全为1

mseq=zeros(1,N); %zeros为赋值全为0

mseq(1)=register(n);

for i=2:N

newregister(1)=mod(sum(fbconnection.*register),2);

% ①:fbconnection.*register表示两个数组对应元素的相乘,

% ②:sum(a)表示将所乘得到的数组a中的每个元素相加

% ③:mod(a,b)就是求的是a除以b的余数。

for j=2:n

newregister(j)=register(j-1);

end

register=newregister;

mseq(i)=register(n);

end

例如,要生成255位码长特征多项式[545]的m序列,只需直接执行m_seq(545),则m序列为:

1 1 1 1 1 1 1 1 0 0 1

0 1 1 0 1 0 1 1 0 1 1

1 0 1 0 1 0 1 0 1 1 1

0 0 1 0 0 1 1 0 1 1 0

1 0 0 1 1 0 0 1 1 0 1

0 0 0 1 1 1 0 1 1 0 1

1 0 0 0 1 0 0 0 1 0 0

1 1 1 1 0 1 0 0 1 0 0

1 0 0 0 0 1 1 1 1 0 0

0 1 0 1 0 0 1 1 1 0 0

0 1 1 1 1 1 0 1 0 1 1

1 1 0 0 1 1 1 0 1 0 0

0 0 1 0 1 0 1 1 0 0 1

0 1 0 0 0 1 0 1 1 0 0

0 0 0 1 1 0 0 1 0 0 0

1 1 0 0 0 0 1 1 0 1 1

1 1 1 1 0 1 1 1 0 0 0

0 1 0 0 0 0 0 1 0 0 1

0 1 0 1 0 0 1 0 1 1 1

1 1 0 0 0 0 0 0 1 1 1

0 0 1 1 0 0 0 1 1 0 1

0 1 0 0 0 0 0 0 0 1 0

1 1 1 0 1 1 1 1 0 1 1

0 0

所对应的m序列的波形如图6所示。

50

100

150

200

250

300

00.2

0.4

0.6

0.8

1

图6 m 序列[545]波形图

如果要生成255位码长特征多项式[537]的m 序列,只需直接执行m_seq[537],所对应的m 序列的波形如图7所示。

50

100

150

200

250

300

00.2

0.4

0.6

0.8

1

图7 m 序列[537]波形图

7 测试m 序列的自相关特性与互相关特性

以前述所产生的 m 序列为例,分析伪随机序列的相关特性。为方便起见,这里把序列中的 “1”和 “0” 分别映射成 “1” 和 “- 1”。计算相关性主程序源代码如下:

mseq1 = m_seq(545); mseq2 = m_seq(537); ind1 = find(mseq1==0);

mseq1(ind1)=-1;%把"0"映射成"-1" ind2 = find(mseq2==0);

mseq2(ind2)=-1; %把"0"映射成"-1"

r1 = ccorr(mseq1);

r2 = ccorr(mseq1,mseq2); N = length(mseq1);

axis =-N+1:N-1; %定义横轴使自相关峰值移位到0点 plot(axis,r1,axis,r2,'-.'); xlabel('k'); ylabel ('R(k)');

title('伪随机序列的相关特性');

legend('m 序列自相关特性','m 序列互相关特性');

上述代码在不清除前述 MATLAB 工作空间前提下保存为 xiangguan.m ,运行后返回结果如图8所示。

其中自编函数ccorr .m 用来求两个伪随机序列的互相关函数,输入为两个相同长度的伪随机序列,返回互相关值。当输入为一个序列时,求其自相关函数。函数代码如下:

function r = ccorr(seq1,seq2) if nargin == 1 seq2 = seq1; end

N = length(seq1); for k = -N + 1;-1;

seq2_shift =[seq2(k + N + 1: N) seq2(1: k + N)]; r(N + k) = seq1*seq2_shift'; end

for k = 0:N - 1

seq2_shift =[seq2(k + 1: N) seq2(1:k)]; r(N + k) = seq1*seq2_shift'; end

-300

-200-100

010*******

-100-50050100150200250300k

R (k )

伪随机序列的相关特性

m 序列自相关特性m 序列互相关特性

图8 自相关特性与互相关特性

图8可以看出,m序列有着良好的自相关性,同周期的不同m序列之间存在较大的互相关峰值。在实际应用中,我们希望序列的互相关幅度值越小越好。

8 结论

本论文以扩频通信为基础,分析了伪随机序列的特点,着重介绍了m序列的相关知识,论文阐述了对常用的伪随机序列——m序列的性质、产生原理、自相关特性及互相关特性随机特性进行了详细的分析研究。扩频码基于MATLAB的实现是一种常用的方法,本论文讨论了基于Matlab的m序列的设计,应用Matlab 仿真产生m序列并验证其性质,同时仿真出m序列相关特性图形。文中提供了所有程序的源代码,其代码简洁高效,技巧性强。

参考文献

[1]K. Kettunen. Code Selection for CDMA Systems[R]. George Mason University, Fairfax. Virginia, 2007.

[2]吴明捷,等. 伪随机码及计算机的产生[J]. 辽宁工程技术大学学报(自然科学版), 2002, 4: 203 - 206.

[3]陈海龙, 李宏. 基于MATLAB的伪随机序列的产生和分析[J]. 计算机仿真, 2005, 22(5): 98–100.

[4]柴霖. 基于MATLAB的扩频码设计[J]. 全球定位系统, 2007, 1, 20~25

[5]樊昌信,曹丽娜. 通信原理(第6版)[M]. 国防工业出版社,2008, 379~390

直接序列扩频通信

MATLAB仿真直接序列扩频通信 1.摘要 直接序列扩频通信系统(DS-CDMA)因其抗干扰性强、隐蔽性好、易于实现码分多址(CDMA)、抗多径干扰、直扩通信速率高等众多优点,而被广泛应用于许多领域中。针对频通信广泛的应用,本文用MATLAB工具箱中的SIMULINK通信仿真模块和MATLAB函数对直接序列扩频通信系统进行了分析和仿真,使其更加形象和具体。 关键字:扩频通信m序列gold正交序列matlab仿真 2.引言 直接序列扩频(DSSS— Direct Sequence Spread Spectrum)技术是当今人们所熟知的扩频技术之一。这种技术是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端,用与发端扩展用的相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信息。 它是二战期间开发的,最初的用途是为军事通信提供安全保障, 是美军重要的无线保密通信技术。这种技术使敌人很难探测到信号。即便探测到信号,如果不知道正确的编码,也不可能将噪声信号重新汇编成原始的信号。有关扩频通信技术的观点是在1941年由好莱坞女演员Hedy Lamarr 和钢琴家George Antheil提出的。基于对鱼雷控制的安全无线通信的思路,他们申请了美国专利#2.292.387。不幸的是,当时该技术并没有引起美国军方的重视,直到十九世纪八十年代才引起关注,将它用于敌对环境中的无线通信系统。 直序扩频解决了短距离数据收发信机、如:卫星定位系统(GPS)、3G移动通信系统、WLAN (IEEE802.11a, IEEE802.11b, IEE802.11g)和蓝牙技术等应用的关键问题。扩频技术也为提高无线电频率的利用率(无线电频谱是有限的因此也是一种昂贵的资源)提供帮助。 3.直接序列扩频DS-SS是直接用具有高码率的扩频码序列在发送端去扩展信 号的频谱。而在收端,用相同的扩频码序列去进行解扩,把展宽的扩频信号还原成原始的信息。

直接序列扩频系统设计

扩频通信技术实现方法的研究和设计 ——DS直接序列扩频 专业:通信工程 班级:2002级1班 姓名:佟岩

引言 3 1扩频通信系统 6 1.1扩展频谱通信的定义 6 1.2扩频通信的理论基础 6 1.3扩频通信的主要性能指标8 1.4扩频通信的主要特点10 1.5频谱扩展的实现和直接序列扩频13 1.6扩频系统需要满足以下几个条件1 7 1.7扩频通信特征17 2直序扩频通信系统 18 2.1直序扩频通信系统框图18 2.2直接序列扩频信号的产生原理18 2.3直接序列扩频原理20 2.4直接序列扩频信号的实现方法21 3用编程来实现直序扩频通信系统23 3.1直接序列扩频系统与PSK调制23 3.2信号解调 24 3.3差错概率 26 4实验28 4.1 Monte Carlo仿真28 4.2 SIMULINK仿真30 结论 36 致谢 37 参考文献 38 附录1直扩程序M-文件40 附录2直扩-SIMULINK动态仿真模框图43

扩频通信技术(简称扩频通信)是一种新兴的高科技通信技术,具有大容量、抗干扰、低截获功率等特点以及可实现码分多址(CDMA)等优点,在军事和民用通信系统中都得到了广泛的应用,并成为下一代移动通信的技术基础。在扩频通信系统中,直序扩频的应用最为广泛。首先介绍扩频通信的基本原理及组成,重点论述了直序扩频通信在通信系统中的使用。 MATLAB因具有强大的数学计算、算法推导、建模仿真和图形绘制等功能而广泛应用于各领域,本文利用MATLAB的M语言进行编程、仿真,从而对CDMA无线通信系统的性能进行了分析。 在此基础上,通过实例介绍了建立系统仿真模型的方法。利用MATLAB 软件对CDMA无线通信系统的性能进行了分析。可见利用MATLAB/SIMULINK进行系统仿真简单、方便、形象、具体,是系统仿真较好软件之一。 关键词: 直序扩频通信系统;PN序列产生器;误码率;仿真;MATLAB;干扰

扩频编码M序列和gold序列

M序列 由n级移位寄存器所能产生的周期最长的序列。这种序列必须由非线性移位寄存器产生,并且周期为2n(n 为移位寄存器的级数)。例如,考察图中a的非线性反馈移位寄存器,其状态转移关系如表:

状态(a k-3,a k-2,a k-1)的接续状态是(a k-2,a k-1,a k),其中a k=a k-3嘰a k-1嘰1嘰a k-2a k-1是一种非线性逻辑。从任一状态出发,例如从(000)出发,其接续状态恰好构成一个完全循环(图b),由此产生一个周期为23=8的3级序列。M序列最早是用抽象的数学方法构造的。它出现于组合数学的一些数学游戏中,例如L.欧拉关于哥尼斯堡的七桥问题等。后来发现这种序列具有某些良好的伪随机特性。例如,M序列在一个周期中,0与1的个数各占一半。同时,同样长度的0游程与1游程也各占一半。所有这些性质在数据通信、自动控制、光学技术和密码学诸领域中均有重要应用。 隐蔽通信内容的通信方式。为了使非法的截收者不能理解通信内容的含义,信息在传输前必须先进行各种形式的变化,成为加密信息,在收信端进行相应的逆变化以恢复原信息。电报通信、电话通信、图像通信和数据通信,都有相应的保密技术问题。另一方面,为了从保密通信中获得军事、政治、经济、技术等机密信息,破译技术也在发展。保密技术和破译技术是在相互对立中发展起来的。 1881年世界上出现了第一个电话保密专利。电话保密开始是采用模拟保密或置乱的方法,即把话音的频谱或时间分段打乱。置乱后的信号仍保持连续变化的性质。在第二次世界大战期间,频域和时域的置乱器在技术上已基本成熟。70年代以来,由于采用集成电路,电话保密通信得到进一步完善。但置乱器仍是有线载波和短波单边带电话保密通信的主要手段。模拟保密还可以采用加噪声掩盖、人工混响或逆向混响等方法,但因恢复后话音的质量大幅度下降或保密效果差,这些方法没有得到推广应用。数字保密是由文字密码发展起来的。数字信号(包括由模拟信号转换成的数字信号),由相同速率的密码序列加密,成为数字保密信号;保密信号传输到收信端后由同一密码序列去密,恢复原数字信号。随着集成电路的发展,数字保密通信已成为保密通信的主要发展方向。话音、图像等模拟信号都可以用数字保密方式。一般来说,数字破译要比模拟破译困难得多。数字保密的主要限制是传输数字信号所需带宽要比传输模拟信号的带宽大好多倍。 模拟保密通信话音信号置乱后的带宽基本保持不变,这是模拟保密通信的一个特点。但是,置乱后恢复的话音质量有所下降。置乱的过程越复杂,则话音质量下降的程度越大。 倒频用倒频器(图1)把话音频谱颠倒过来,使高频变为低频,低频变为高频,这是最简单的一种频域置乱方法。频域置乱器的基本电路是平衡调制器和带通滤波器。平衡调制器可以搬移和倒置频谱,而滤波器可以滤取所需要的频谱成分。输入的话音信号经过平衡调制器后输出上、下两个边带。适当地选择

直接序列扩频通信系统开题报告

哈尔滨工业大学华德应用技术学院毕业设计(论文)开题报告 题目:直接序列扩频通信系统的设计与仿真实现 系(部)应用电子与通信技术 专业通信工程 学生薛光宇 学号24 班号0992222 指导教师周凯 开题报告日期2012.10,22 哈工大华德学院

说明 一、开题报告应包括下列主要内容: 1.通过学生对文献论述和方案论证,判断是否已充分理解毕业设计(论文)的内容和要求 2.进度计划是否切实可行; 3.是否具备毕业设计所要求的基础条件。 4.预计研究过程中可能遇到的困难和问题,以及解决的措施; 5.主要参考文献。 二、如学生首次开题报告未通过,需在一周内再进行一次。 三、开题报告由指导教师填写意见、签字后,统一交所在系(部)保存,以备检查。指导教师评语: 指导教师签字:检查日期:

一、课题题目和课题研究现状 课题题目:直接序列扩频通信系统的设计与仿真实现。 研究现状:目前扩频技术中研究最多的对象是CDMA技术,其中又以码捕获技术和多用户检测(MUD)技术代表了目前扩频技术研究的现状。 1.码捕获 同步的实现是直扩系统中一个关键问题。只有在接收机将本地产生的伪码和接收信号中调制信息的伪码实现同步以后,才有可能实现直序扩频通信的各种优点。同步过程分为两步来实现:首先是捕获阶段,实现对接收信号中伪码的粗跟踪;然后是跟踪阶段,实现对伪码的精确跟踪。目前的研究主要集中在码捕获过程。 2, 多用户检测 CDMA系统容量受到来自其他用户的多址干扰的限制,多用户检测能够利用这些多址干扰来改善接收机的性能,因此是一种提高系统容量的有效方法。传统的CDMA 接收机是由一系列单用户检测器组成,每个检测器都是与特定扩频码对应的相关器,它并没有考虑多址干扰的结构,而是把来自其它用户的干扰当成加性噪声,因此当用户数量增加时,其性能急剧下降。通过对所有用户的联合译码可以极大地改善CDMA系统的性能。但是最优的多用户接收机,其复杂度随用户数量成指数增长,因此在实际通信系统中几乎不可能实现。这样寻找在性能和复杂度之间折中的次最优多用户检测器成为研究的热点 二、目的及意义 通过对该课题的研究,了解科研学术论文的撰写流程,并且将自己所学的理论知识运用到论文中,全面多角度的分析该领域的发展现状,同时提高自己的思维能力,对搜集的数据进行恰当处理和准确分析,对大学本科四年学习成果进行有效的检验,并且进一步提高自学能力和自主进行科学研究的水平。 三、课题的基本内容 所谓直接序列扩频(DS),就是直接用具有高速率的扩频码序列在发送端去扩展信号的频谱。而接收端,用相同的扩频码序列进行解扩,把展宽的扩频信号还原成原始信息。

基于m序列的直接序列扩频

扩频通信实验 实验名称:基于m序列的直接序列扩频 专业班级:通信111501班 学生姓名:穆琦沈傲立孙琳王瑞学熊晓倩

学号:201115040111 13 16 20 27 指导教师:郑秀萍 时间:2014.10.29 1 需求分析 在通信发射端将载波信号展宽到较宽的频段上;在接收端,用同样的扩频码序列进行解扩和解调,把展宽的信号还原成原始信息.通过扩展频谱的相关处理,大大降低了频谱的平均能量密度,可在负信噪比条件下工作,获得了高处理增益,从而降低了被截获和检测的概率,避免了干扰影响.通过仿真模型结果分析抗噪声性能结果。 2 概要设计 扩频通信系统分为直接序列扩频系统、跳频扩频系统、跳时扩频系统和混合式扩频系统。直接序列扩频系统,又称“平均”系统或伪噪声系统,就是采用高码率的扩频码序列PN 码(伪随机码),在发送端与编码数据信号进行模2 加,产生一扩频序列,这一码序列由于码元很窄,占用了很宽的频带,达到扩频的目的,然后用扩频序列去调制载波并予以传输。在接收端接收到的扩频信号经高频放大混频之后,用与发端相同且同步的伪随机码对扩频信号进行相关解扩,由于收发端伪随机码的相关系数为1,故可以完全恢复所传的信息,而干扰和噪声由于与接收机伪

随机码不相关,在相关解调时大大降低进入信号通频带内的干扰。它是目前应用较广泛的一种扩展频谱系统。在国外已获得成功的空间探测器“喷气推进实验室(JPL)测距技术”就是一种直接序列调制,TATS-1 军用卫星中的扩展频谱多址(SSMA)系统等都使用DSSS。 直接序列扩频系统的接收一般采用相关接收,并分成两步,即解扩和解调。在接收端,接收信号经过数控振荡器放大混频后,用与发射端相同且同步的由M 序列发生器产生的伪随机码对中频信号进行相关解扩,把扩频信号恢复成窄带信号,然后再由基带滤波器进行解调,最后恢复出原始信息序列。扩频与解扩过程中,利用PN序列生成器模块( PN Sequence Generator ) ,产生6级、传输速率500b/s的PN伪随机序列来达到扩频和多址接入效果,这里扩频增益为50倍.扩频的运算是信息流与PN码相乘或模二加的过程.解扩的过程与扩频过程完全相同,即将接收的信号用PN码进行第二次扩频处理.要求使用的PN码与发送端扩频用PN码不仅码字相同,而且相位相同.否则会使有用信号自身相互抵消.解扩处理将信号压缩到信号频带内,由宽带信号恢复为窄带信号.同时将干扰信号扩展,降低干扰信号的谱密度,使之进入到信息频带内的功率下降,从而使系统获得处理增益,提高系统的抗干扰能力.调制与解调使用二相相移键控PSK方式. 为了方便分析, 我们可对系统作如下假设: 系统各用户同步;系统各用户功率相同;仅考虑系统MAI和白噪声干扰引起的误码, 忽略信号传输、调制解调过程中的误码。 3 开发工具和编程语言 开发工具:

m序列产生及其特性

一、实验目的 通过本实验掌握m 序列的特性、产生方法及应用。 二、实验内容 1、观察m 序列,识别其特征。 2、观察m 序列的自相关特性。 三、基本原理 m 序列是有n 级线性移位寄存器产生的周期为21n -的码序列,是最长线性移位寄存器序列的简称。码分多址系统主要采用两种长度的m 序列:一种是周期为1521-的m 序列,又称短PN 序列;另一种是周期为 4221-的m 序列,又称为长PN 码序列。m 序列主要有两个功能:①扩展调制信号的带宽到更大的传输带宽, 即所谓的扩展频谱;②区分通过多址接入方式使用同一传输频带的不同用户的信号。 3、m 序列的互相关函数 两个码序列的互相关函数是两个不同码序列一致程度(相似性)的度量,它也是位移量的函数。当使 用码序列来区分地址时,必须选择码序列互相关函数值很小的码,以避免用户之间互相干扰。 研究表明,两个长度周期相同,由不同反馈系数产生的m 序列,其互相关函数(或互相关系数)与自 相关函数相比,没有尖锐的二值特性,是多值的。作为地址码而言,希望选择的互相关函数越小越好,这 样便于区分不同用户,或者说,抗干扰能力强。 在二进制情况下,假设码序列周期为P 的两个m 序列,其互相关函数R xy (τ)为 ()xy R A D τ=- (9-9) 式中,A 为两序列对应位相同的个数,即两序列模2加后“0”的个数;D 为两序列对应位不同的个数, 即两序列模2加后“1”的个数。 为了理解上述指出的互相关函数问题,在此以5n =时由不同的反馈系数产生的两个m 序列为例计算它 们的互相关系数,以进一步讲述m 序列的互相关特性。将反馈系数为8(45)和8(75)时产生的两个5级m 序 列分别记做:1m :1000010010110011111000110111010和2m :111110111000101011010000110100,序列1m 和 2m 的互相关函数如表9-3所示。 表9-3序列1m 和2m 的互相关函数表

直接序列扩频技术(HFA3824A)

摘要 由于直接序列扩频技术所具有的优点,它在无线电通讯中得到了广泛的应用。本文主要介绍了直接扩频技术的原理,m序列的产生以及m序列发生器的结构和反馈系数,直接扩频信号的相关接收机的组成及解扩方式、直扩信号的相关处理。以及直扩信号的同步。在上述理论基础上,用Intersil公司生产的一系列芯片对直接扩频系统进行了实现,其中主要介绍了HFA3824型专用扩频电路的主要性能和用法以及在扩频通信中的应用与实现。还对HFA3524、HFA3724进行了一定的介绍,简要说明了其内部结构和外围电路以及在扩频通信中的应用。 关键字扩频通信,无线电通信,实现,应用

ABSTRACT Because of its merits .The direct sequence spread spectrum (DS SS) technology is applying widely in wireless communication. The principle of the direct sequence spread (DS SS) technology, the generation of m-sequence, the structure of m-sequence generator and the feedback coefficients of it, the de-spread mode of the correlation receiver of the direct spread spectrum single and the correlation process and the synchronization of the direct spread spectrum single are described. Family chip that is produced by Intersil Company is used to realize the direct spread spectrum system on the basis of the above-mentioned theories. The performances and the methods of applications of the Intersil’s application-specific spread spectrum circuit (HFA3824A), and its applications and realization in spread spectrum communications are mainly described. The interior structure and the peripheral circuit of HFA3524 and HFA3724, and its applications in spread spectrum communications are briefly described as well. KEY WORDS spread spectrum communications,wireless communication,realization,applications

基于MATLAB的直接序列扩频通信系统课程设计报告

《扩频通信原理》课程设计报告 题目:直接扩频系统仿真 班级:0110910和0110911 姓名:詹晓丹(2009210432) 姜微(2009210503) 张建华(2009210336) 指导老师:李兆玉

1.课程设计目的 (1)了解、掌握直接扩频通信系统的组成、工作原理; (2)了解、熟悉扩频调制、解调、解扩方法,并分析其性能; (3)学习、掌握Matlab相关编程知识并用其实现仿真的直接扩频通信系统; 2.课程设计实验原理 直接扩频通信系统工作原理: 直接序列扩频,就是直接用高码率的扩频码序列在发端去扩展信号的频谱,在收端用相同的扩频码去解扩,把展宽的扩频信号还原成原始的基带信号。 在发端输入的信息与扩频码发生器产生的伪随机码序列(这里使用的是m序列)进行波形相乘,得到复合信号,实现信号频谱的展宽,展宽后的信号再调制射频载波发送出去。由于采用平衡调制可以提高系统抗侦波的能力,所以直接序列扩频调制一般都采用二相平衡调制方式。一般扩频调制时一个信息码包含一个周期的伪码,用扩频后的复合信号对载波进行二相相移监控(BPSK)调制,当gt从“0”变成“1”或从“1”变到“0”时,载波相位发生180度相移。接收端的本振信号与发射端射频载波相差一个中频,接收端收到的宽带射频信号与本振信号混频、低频滤波后得到中频信号,然后与本地产生的与发端相同并且同步的扩频码序列进行波形相乘,实现相关解扩,再经信息解调,恢复出原始信号。 3.建立模型描述 (1)直接扩频通信系统组成框图: (2)直接扩频通信系统波形图:

4.模块功能分析 (1)直扩系统的调制功能模块:(都包含模块框图和不同调制、解调方式介绍、分析)(a)扩频调制模块 用扩频码发生器产生一个伪随机码pn(这里用的是m序列),与信源信息码序列xt相乘,实现频谱的展宽 (b)BPSK调制模块

基于matlab的直接序列扩频通信系统仿真

基于MATLAB的直接序列扩频通信系统仿真 1.实验原理:直接序列扩频(DSSS)是直接利用具有高码率的扩频码系列采用各种调 制方式在发端与扩展信号的频谱,而在收端,用相同的扩频码序去进行解扩,把扩展宽的扩频信号还原成原始的信息。它是一种数字调制方法,具体说,就是将信源与一定的PN码(伪噪声码)进行摸二加。例如说在发射端将"1"用11000100110,而将"0"用00110010110去代替,这个过程就实现了扩频,而在接收机处只要把收到的序列是11000100110就恢复成"1"是00110010110就恢复成"0",这就是解扩。这样信源速率就被提高了11倍,同时也使处理增益达到10DB以上,从而有效地提高了整机倍噪比。 1.1 直扩系统模型 直接序列扩频系统是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端用与发送端相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信号。对干扰信号而言,与伪随机码不相关,在接收端被扩展,使落入信号通频带的干扰信号功率大大降低,从而提高了相关的输出信噪比,达到了抗干扰的目的。直扩系统一般采用频率调制或相位调制的方式来进行数据调制,在码分多址通信中,其调制多采用BPSK、DPSK、QPSK、MPSK等方式,本实验中采取BPSK方式。 直扩系统的组成如图1所示,与信源输出的信号a(t)是码元持续时间为Ta的信息流,伪随机码产生器产生伪随机码c(t),每个伪随机码的码元宽度为Tc (Tc<

M序列的产生和性能分析

M序列的产生和性能分析 摘要 在扩频函数中,伪随机信号不但要求具有尖锐的互相关函数,互相关函数应接近于零,而且具有足够长的码周期,以确保抗侦破、抗干扰的要求;由足够多的独立地址数,以实现码分多址的要求。M序列是伪随机序列的一种,可由m序列添加全0状态而得到。m序列与M序列对比得出在同级移位寄存器下M序列的数量远远大于m序列数量,其可供选择序列数多,在作跳频和加密码具有极强的抗侦破能力。 本文在matlab中的Simulink下用移位寄存器建立了4级、5级、6级M 序列的仿真模型,进行了仿真,画出其时域图、频谱图、互相关性图。通过时域图和频域图可看出,经过扩频后的信号频带明显的被扩展;由M序列互相关性图,得出M序列有较小的互相关性,较强的自相关性,但相关性略差于m序列。最后,本文又将M序列应用于CDMA扩频通信仿真系统中,得到下列结论:当使用与扩频时相同的M序列做解扩操作与用其他序列做解扩的输出有巨大的差别。使用相同的序列进行解扩时系统输出值很大,而使用其他序列解扩时输出值在零附近变化。这就是扩频通信的基础。 关键词:伪随机编码, 扩频通信自相关函数,互相关函数

M SEQUENCE GENERATION AND PERFORMANCE ANALYSIS ABSTRACT In spread-spectrum communication, pseudo-random sequence must have high autocorrelation value, low cross correlation, long code period and lots of dependent address to satisfy code division multiple access(CDMA). M sequence is one kind of the pseudo-random sequences. It can be may obtained through adding entire 0 states to m sequence. The number of M sequence is greater than the m-sequence under the same level shift register. It may supply the more choice. The M-sequence is often applied to the frequency hopping and adds the password to have greatly strengthened anti- solves the ability. At first, M sequences which has n=4、5、7 levels of shift registers are produced under Simulink of Matlab. The time domain chart, the spectrograph, the mutual correlation chart are plotted. Through the time domain chart and the spectrograph, we could see how the bandwidth of the information signal is expanded. The pseudo-random symbol speed rate higher noise signal frequency spectrum is proliferated widely, the output power spectrum scope is lower. This can explain the spread-spectrum communication system principle from the frequency range. Through the M sequence’s auto correlation chart we can see that the auto correlation of M-sequence is quite good but is inferior to the m sequence. Finally, the M sequence is applied to the code division multiple access (CDMA) communication system. This is the spread-spectrum communication foundation. KEY WORDS:Pseudo-random code, auto-correlation, cross-correlation

直接序列扩频系统的Simulink仿真

直接序列扩频系统的Matlab/Simulink仿真 摘要:本文利用Matlab/Simulink对直接序列扩频系统进行了仿真,对其原理进 行了相关的说明。读者可以通过对本文的阅读对直接序列扩频的相关原理有一定的了解。 关键字:扩频通信直接序列扩频 一、仿真的意义 随着信息技术的发展,通信技术变得越来越复杂,技术更新的周期也越来越短。对于大部分学者,特别是我们学生来说,在学习通信技术时,若对每一个系统都要实体研究是不现实的。此时通信系统仿真对我们来说可以说是必不可少的。通过建立相应的通信系统的模型,对其进行仿真,可以使我们把琐碎的知识联系在一起,形成一个个通信系统的概念,可以让我们对各个知识点的原理有更加深刻的理解和掌握。 二、直接序列扩频的原理 扩频通信,即扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据用伪随机编码(扩频序列:Spread Sequence)调制,实现频谱扩展后再传输而接收端则采用相同的编码进行解调及相关处理,恢复原始信息数据。扩频通信具有抗干扰能力强、抗噪声、保密性强、功率谱密度低,具有隐蔽性和较低的截获概率、可多址复用和任意选址、高精度测量等优点。 根据扩展频谱方式的不同,可以将扩频通信系统分为直接序列扩频(Direct Sequence Spread Spectrum)工作方式,简称直扩(DS)方式;跳变频率(Frequency Hopping)工作方式,简称跳频(FH)方式;跳变时间(Time Hopping)工作方式,简称跳时(TH)方式;宽带线性调频(Chirp Modulation)工作方式,简称Chirp方式和各种混合方式。 直接序列(DS-Direct Scquency)扩频,就是直接用具有高码率的扩频码序列在发端去扩展信号的频谱,而在收端,用相同的扩频码序列去进行解扩,把展宽的扩频信号还原成原始的信息。直接序列扩频是扩频通信系统最基本的工作方式。 假设信源序列对应的双极性波形为a(t),其电平取值为±1 ,码元速率为Rabps,码元宽度为Ta=1/Ra/秒。扩频所使用的伪随机序列c(t)也是电平取值为±1 的双极性波形,伪随机序列(PN序列)的码元也称为码片(chip),码片速率设为Rcchip/s,对应的码片宽度就是Tc=1/Rc/秒。对于双极性波形而言,扩频过程等价于数据流a(t)与伪随机序列c(t)相乘的过程,扩频输出序列设为d(t),也是取值为±1 的双极性波形,其速率等于码片速率。扩频序列经过调制后得到调制输出信号s(t)送入信道。对于BPSK调制,发送的信号就相当于是数据流与伪随机序列相乘后再乘于一个高频的余弦信号。在接收端,接收到的信号中有包含了有用信号s(t)及各种干扰J(t)和噪声n(t)。由于接收端采用相关解扩,即将s(t)J(t)n(t)和本地PN序列c(t)相乘,只有有用信号的频谱能够被还原为窄带信号,其他的噪声和干扰的频谱只会被展宽,当信号通过窄带滤波器后只有一小部分被展宽了的频谱会混进有用信号中,由此大大增强了其抗干扰的能力。 三、仿真的系统与结果 此处是对直接序列扩频通信系统的仿真。假设该系统以BPSK方式调制,数

三种扩频码的作用

短码、长码和Walsh码 直序列扩频通信系统 扩频通信是一种无线通信技术。他所用的传送频带比任何用户的信息频带和数据速率都大许多倍。用W表示传送带宽(单位为Hz),用R表示数据速率(单位为bit/s),W/R被称为扩展系数或处理增益。W/R的值一般可以在一百到一百万的范围(20db~60db)。 讲到这里,不得不把香农老先生搬出来,这个人可是咱们现代通信理论的奠基人,严重的崇拜(可惜他的著作《信息论》咱实在是看不懂啊,汗!) 香农容量公式(Shannon’ scapacityequation),这个公式放在这里,人老先生费半天劲搞出来的,我们不去讨论其推算原理,只认为这是正确的。哦,香农还指出这是在加性高斯白噪声的信道模型下的公式,基本上我们现在的移动通信就是用这个东东啦。 C=Blog2[1 + S/N] 其中:B为传送带宽(单位为Hz); C为信道容量(单位为bit/s);

S/N为信号噪声功率比。 传统通信系统通常压缩信号速率至尽可能小的带宽信道进行传送,cdma系统则采用宽带信道传送信号,以获得处理增益,提高信道容量。为什么哪?根据香农公式,他老人家说增加信道带宽可以换取更高的信道容量或者是更低的信噪比,以提高收发双方通信的可靠性。 当一个用户以9600bps速率进行语音通信时,cdma的信道带宽是1,228,800hz,处理增益为1,228,800hz/9600=128=21dB。以此推算,每当用户数增加一倍,信道处理增益下降3db,当用户数达到32个时,信噪比接近底线,达到单扇区容量极限。实际上,cdma系统对单载波单扇区通话的用户数进行了限制,以确保系统处理增益可以保持在理想的水平。 发信者把需传送的低速数据与一组快速扩频序列合成后通过发射机发射出去,接收者从空中借口截取信息流后,用同一快速扩频序列进行解扩频,从而得到原始信息。 好,扩频的概念有了。我们再接着往下看。 cdma系统通过码片(chip)来传输信号(signal),通常每一比特信息要占用几个码片。所有用户共用cdma信道资源,每个用户拥有自己唯一的码型以区别

基于Matlab产生m序列

目录 前言 (1) 第一章设计任务 (2) 1.2设计内容 (2) 1.2设计要求 (2) 1.3系统框图 (2) 第二章m序列的分析 (4) 2.1m序列的含义 (4) 2.2m序列产生的原理 (5) 2.2m序列的性质 (6) 2.3自相关特性 (7) 第三章m序列的设计 (8) 3.1特征多项式确定 (8) 3.2本原多项式的确定 (9) 3.3m序列的发生 (10) 第四章程序调试及结果分析 (11) 4.1m序列的仿真结果及分析 (12) 4.2该设计的序列相关性仿真结果及分析 (13) 结论 (14) 参考文献 (15) 附录:程序代码 (16)

前言 扩频通信因其具有抗干扰、抗多径衰落、抗侦察等优点在通信领域中得到广泛应用。扩频序列的设计和选择是扩频通信的关键技术,扩频序列性能的优劣在很大程度上决定了通信系统的多址干扰和符号间干扰的大小,从而直接影响到系统的性能。因此,深入研究扩频序列的性质,构造设计具有良好相关性的扩频序列,来满足扩频系统的要求,是直接序列扩频系统的核心课题。白噪声是一种随机过程,它有极其优良的相关特性。但至今无法实现白噪声的放大、调制、检测、同步及控制等,而只能用类似于白噪声统计特性的伪随机序列来逼近它,并作为扩频系统的扩频码。 常见的伪随机序列有m 序列、GOLD 序列、M 序列、Walsh 序列等。m 序列是目前研究最为彻底的伪随机序列,m 序列容易产生,有优良的自相关和互相关特性。序列是伪随机序列的一种情况。他可以在很多领域中都有重要应用。由n级移位寄存器所能产生的周期最长的序列。这种序列必须由非线性移位寄存器产生,并且周期为2n(n为移位寄存器的级数)。 通过对伪随机码中常用的m序列的结构和性质进行了分析,本文给出了基于MATLAB平台的m序列生成算法及代码伪随机序列分析。

直接序列扩频系统matlab仿真

直接序列扩频通信系统仿真 一、实验的背景及内容 1、直接扩频通信的背景 扩频通信,即扩展频谱通信(Spread Spectrum Communication),它和光纤通信、卫星通信,一同被誉为进入信息时代的三大高技术通信传输方式。 有关扩频通信技术的观点是在1941年由好莱坞女演员Hedy Lamarr和钢琴家George Antheil提出的。基于对鱼雷控制的安全无线通信的思路,他们申请了美国专利#2.292.387[1]。不幸的是,当时该技术并没有引起美国军方的重视,直到十九世纪八十年代才引起关注,将它用于敌对环境中的无线通信系统。解决了短距离数据收发信机、如:卫星定位系统(GPS)、移动通信系统、WLAN(IEEE802.11a, IEEE802.11b, IEE802.11g)和蓝牙技术等使用的关键问题。扩频技术也为提高无线电频率的利用率(无线电频谱是有限的因此也是一种昂贵的资源)提供帮助。 扩频通信技术自50年代中期美国军方便开始研究,一直为军事通信所独占,广泛使用于军事通信、电子对抗以及导航、测量等各个领域。直到80年代初才被使用于民用通信领域。为了满足日益增长的民用通信容量的需求和有效地利用频谱资源,各国都纷纷提出在数字峰窝移动通信、卫星移动通信和未来的个人通信中采用扩频技术,扩频技术现已广泛使用于蜂窝电话、无绳电话、微波通信、无线数据通信、遥测、监控、报警等等的系统中。 2、实验的内容及意义 本次实验主要研究了直接序列扩频系统,建立了直接序列扩频系统的matlab仿真模型,在信道中存在高斯白噪声和干扰的情况下,对系统的在不同扩频增益下的误码率性能进行了仿真及分析。 近年来,随着超大规模集成电路技术、微处理器技术的飞速发展,以及一些新型元器件的使用,扩频通信在技术上已迈上了一个新的台阶,不仅在军事通信中占有重要地位,而且正迅速地渗透到了个人通信和计算机通信等民用领域,成为新世纪最有潜力的通信技术之一因此研究扩频通信具有很深远的意义。本人通过此次实验,进行深入地研究学习扩频通信技术及对它进行仿真使用,将所学的知识进行归纳和总结,从而巩固通信专业基础知识,为以后的个人学习和工作打下基础。

直接序列扩频Matlab程序

直接序列扩频Matlab程序 直接序列扩频通信可以有效地抵抗来自信道中的窄带干扰。在一个直扩通信系统中,扩频是通过伪噪声序列(PN)对发送的信息数据进行调制来实现的。在接收端,原伪噪声序列和所收信号的相关运算可将窄带干扰扩展到DS信号的整个频带,使干扰等效为幅度较低频谱较平 坦的噪声;同时,将DS信号解扩, 恢复原始信息数据。- The direct sequence spread spectrum correspondence may effectively resist from the channel in selective interference. Straight expands in the communications system in, the wide frequency is (PN) carries on through the false noise sequence to the transmission information data modulates realizes. In the receiving end, the original false noise sequence and receives the signal the correlation operation to be possible to expand the selective interference to the DS signal entire frequency band, causes the disturbance equivalent for a scope lower frequency spectrum smoother noise; At the same time, expands the DS signal solution, restores the primary information data. ====================== function [Y]=DSSS(X, mode) % 完成DSSS调制解调功能 % mode=[1,2]. 1进行调制,2进行解调,未指定时自动完成调制和解调两个功能。 switch nargin case 0 X='This is a test.'; Y=DSSS(X); return case 1 Y1=DSSS(X, 1); Y2=DSSS(Y1, 2); Y=Y2; return; case 2 if mode==1%调制 D=ones(1,7); m_sequence=Msequence(D); X_length=length(X); ascii_value=abs(X); ascii_binary=zeros(X_length,7); %将数据转换为ASCII二进制码 for ii=1:X_length ascii_binary(ii,:)=Binary(ascii_value(ii)); end subplot(2,3,1);plot(reshape(ascii_binary,1,X_length*7));title('A:输入数据'); %扩频 Sp_expand=zeros(X_length,127*7); for ii=1:X_length

M序列的产生

M 序列的产生 1. 对象或参数 数学模型如下: )()2(5.0)1()2(7.0)1(5.1)(k v k u k u k z k z k z +-+-=-+-- 其中,)(k v 是白噪声N (0,1)(服从正态分布),输入信号采用4阶M 序列,幅度为1. 辨识模型如下: )()2()1()2()1()(2121k v k u b k b k z a k z a k z +-+-=-+-- 设输入信号的取值为k=1到k=16的M 序列,则待辨识参数L L L L LS z H H H ττθ1-)(= ∧。 ????????????=∧4321a a a a LS θ????????????=)16(...)4()3(z z z z L ????? ???????---=)14()15()14()15(......)2()3(z(2)-)3(-)1()2()1()2(-u u z z u u z u u z z H L 编制仿真程序,,获取输入输出数据,运用最小二乘法对系统的参数进行辨识,并将辨识结果与实际参数进行对比。 2. 程序框图

3. 程序实现 function [] = JM-232() %--------------------------------------------------------实验题目及初始化定义 disp('最小二乘法的实现') disp(' ') disp('数学模型为:z(k)-1.5z(k-1)+0.7z(k-2)=u(k-1)+0.5u(k-2)+v(k).') disp(' 所选择的辨识模型为:z(k)+a1*z(k-1)+a2*z(k-2)=b1*u(k-1)+b2*u(k-2)+v(k).') disp(' 故实际参数a1=-1.5, a2=0.7, b1=1, b2=0.5. ') disp(' ') disp('系统输入采用4 阶M 序列且其幅度为1,输出受到白噪声序列v(k)干扰.') ds = input(' 假设白噪声序列v(k)服从正态分布,均值为0,请输入方差ds = '); disp(' ') disp(' ') a0=65539;M=2147483647;x=123456;b=10000; U=[];V=[];Z=[];T=[]; P=zeros(20,4);H=zeros(14,4);LS=zeros(4,1);ZL=zeros(14,1); %------------------------------------------------产生4 阶M 序列作为输入信号u(k) fori=1:4 P(1,i)=1; U(1)=-2*(P(1,4)-0.5); end for j=2:16 fori=2:4 P(j,i)=P(j-1,i-1); end P(j,1)=mod(P(j-1,3)+P(j-1,4),2); U(j)=-2*(P(j,4)-0.5); end disp('** 通过仿真获得:')

直接序列扩频系统

5.1 直扩系统的组成与原理 5.1.1 组成与原理 前面已经说过:所谓直接序列(DS)扩频,就是直接用具有高码率的扩频码序列在发端去扩展信号的频谱。而在收端,用相同的扩频码序列去进行解扩,把展宽的扩频信号还原成原始的信息。图5-1为直扩系统的组成与原理框图。 图5-1 在图5-1(a)中,假定发送的是一个频带限于fin以内的窄带信息。将此信息在信息调制器中先对某一副载额fo进行调制(例如进行调幅或窄带调频),得到一中心频率为fo而带宽为2fin的信号,即通常的窄带信号。一般的窄带通信系统直接将此信号在发射机中对射频进行调制后由天线辐

射出去。 但在扩展频谱通信中还需要增加一个扩展频谱的处理过程。常用的一种扩展频谱的方法就是用一高码率fc的随机码序列对窄带信号进行二相相移键控调制见图5-1(b)中发端波形。二相相移键控相当于载波抑制的调幅双边带信号。选择fc >>fo>fin。这样得到了带宽为2fc的载波抑制的宽带信号。这一扩展了频谱的信号再送到发射机中去对射频f T进行调制后由天线辐射出去。 信号在射频信道传输过程中必然受到各种外来信号的干扰。因此,在收端,进入接收机的除有用信号外还存在干扰信号。假定干扰为功率较强的窄带信号,宽带有用信号与干扰信号同时经变频至中心频率为中频f I输出。不言而喻,对这一中频宽带信号必须进行解扩处理才能进行信息解调。解扩实际上就是扩频的反变换,通常也是用与发端相同的调制器,并用与发端完全相同的伪随机码序列对收到的宽带信号再一次进行二相相移键控。 从图5-1(b)中收端波形可以看出,再一次的相移键控正好把扩频信号恢复成相移键控前的原始信号。从频谱上看则表现为宽带信号被解扩压缩还原成窄带信号。这一窄带信号经中频窄带滤波器后至信息解调器再恢复成原始信息。但是对于进入接收机的变窄带干扰信号,在收端调制器中同样也受到伪随机码的双相相移键控调制,它反而使窄带干扰变成宽度干扰信号。由于干扰信号频谱的扩展,经过中频窄带通滤波作用,只允许通带

相关文档
相关文档 最新文档