文档库 最新最全的文档下载
当前位置:文档库 › 时钟发生器电路 ROHM

时钟发生器电路 ROHM

时钟发生器电路 ROHM
时钟发生器电路 ROHM

SAM3U系列时钟发生器

由以下部件组成:

?1个低功耗的频率为32768Hz的慢时钟振荡器,可以被旁路。

?1个低功耗RC振荡器时钟。

?1个频率为3-20MHz的晶体振荡器(使用USB时必须为12MHz),可以被旁路。

?1个出厂已编程的快速RC振荡器,有3种输出频率可供选择:4、8或12MHz,默认情况下为

4Mhz。

?1个480MHz UTMI PLL,为高速USB设备控制器提供时钟。

?1个频率为96-192MHz的可编程PLL(输入频率为8-16MHz),可向处理器和外设提供MCK

时钟。

它能够提供如下时钟:

?SCLK,慢时钟,也即系统内唯一的常设时钟。

?MAINCLK,主时钟振荡器(Main Clock Oscillator)选择单元的输出时钟:晶体振振荡器或

4/8/12MHz快速RC振荡器。

?PLLACK,分频器和PLL(PLLA)的输出时钟,其中PLL(PLLA)的频率可编程为96-

192MHz。

?UPLLCK,480MHz UTMIPLL(UPLL)的输出时钟。

时钟发生器用户接口内嵌在功耗管理控制器中,27.13节“功耗管理控制器(PMC)用户接口”中

描述了时钟发生器的用户接口。不过,时钟发生器寄存器命名的前缀为CKGR_。

461

26.2

2626--1.框图

Slow Clock SLCK

Main Clock MAINCK

PLLA Clock PLLACK

UPLL Clock UPLLCK

SAM3U 系列

62

SAM3U系列

由低速晶体振荡器或低速RC振荡器产生。

慢时钟源可通过设置供电控制器的控制寄存器(SUPC_CR)的XTALSEL位来选择。

默认情况下,选择RC振荡器。

26.6.33.1RC振荡器

默认情况下,慢时钟RC振荡器是被选中和允许的,用户必须考虑RC振荡器可能产生的漂移。更

多细节可以参考本数据手册“DC特性”小节中。

通过设置供电控制器的控制寄存器(SUPC_CR)中XTALSEL位可禁止慢时钟RC振荡器。

26.6.33.2晶振

时钟发生器集成了一个频率为32,768Hz的低功耗振荡器。XIN和XOUT引脚必须连接到一个频率为

32,768Hz的晶振上。如图26-2所示,此时还必须连接两个外部电容。更多细节可参考本产品数据

手册“DC特性”小节。

注意:用户不是必须得使用慢时钟晶振,可以使用RC振荡器来代替慢时钟晶振。在这种情况下,可

以不连接XIN和XOUT引脚。

26--2.典型慢时钟晶振连接

图26

慢时钟晶振,这样就不用连接晶振。在这种情况下,用户必须向XIN引脚提供外部时钟信号。在本产品手册电气特性章节中描述了XIN引脚在这些条件下的输入特性。

程序员必须确保将供电控制器模式寄存器(SUPC_MR)中的OSCBYPASS位和供电控制器控制

寄存器(SUPC_CR)中的XTALSEL位置1。

463

26.4

26-3所示为主时钟(Main Clock )方框图。2626--3.主时钟(Main Clock )方框图Slow Clock

主时钟有两个时钟源:

?4/8/12MHz 快速RC 振荡器,它可启动迅速,因此用于启动阶段。?3-20MHz 晶体振荡器,它可被旁路。

26.6.4

4.1MHz 快速RC 振荡器

复位后,4/8/12MHz 快速RC 振荡器被允许,默认选择4MHz 作为其输出频率,并作为MAINCK (主时钟)的时钟源。MAINCK 是启动系统的默认时钟。

快速RC 振荡器的8/12MHz 输出频率在设备出厂时就进行了校正。注意,对4MHz 输出频率则没有进行校正。

请参考本产品数据手册“DC 特性”小节。

可通过软件设置时钟发生器和主振荡器寄存器(CKGR_MOR )的MOSCRCEN 位来允许和禁止4/8/12MHz 快速RC 振荡器。用户可以通过CKGR_MOR 寄存器的MOSCRCF 位来选择快速RC 振荡器的输出频率为4MHz 、8MHz 还是12MHz 。当改变频率选择时,功耗管理控制器状态寄存器

系列

64

SAM3U系列

PMC_SR)中的MOSCRCS位自动清零,且在振荡器稳定前MAINCK时钟一直处于停止状态。当振荡器稳定后,MAINCK时钟将重新启动,MOSCRCS位置1。

当通过对CKGR_MOR寄存器的MOSCRCEN位清零来禁止主时钟(Main Clock)时,功耗管理

控制器状态寄存器(PMC_SR)的MOSCRCS位自动被清零,以指示主时钟(Main Clock)被关

闭。

如果功耗管理控制器中断允许寄存器(PMC_IER)中MOSCRCS位被置位,将允许触发相应的

中断。

26.6.44.2MHz晶体振荡器

复位后,3-20MHz晶振被禁止,并且其未被选为MAINCK的时钟源。

用户可以选择3-20MHz晶体振荡器为MAINCK的时钟源,因为它可提供了更为精确的频率。可以

通过软件对主振荡器寄存器(CKGR_MOR)中的MOSCXTEN位置位或清零,来允许或禁止主

振荡器以减少功耗。

当通过清零CKGR_MOR寄存器中的MOSCXTEN位禁止主振荡器时,PMC_SR寄存器中的

MOSCXTS位自动清零,以指示主时钟(Main Clock)已关闭。

当允许主振荡器时,用户必须根据振荡器的启动时间用合适的值对主振荡器计数器进行初始化。启

动时间取决于连接到振荡器的晶振的频率。

当通过设置CKGR_MOR寄存器中的MOSCXTEN位和MOSCXTCNT位允许主振荡器时,功耗管理

控制器状态寄存器(PMC_SR)中的MOSCXTS位被清零,计数器以慢时钟的八分之一频率从

MOSCXTCNT开始向下计数,因为MOSCXTCNT值以8位编码,所以最大启动时间大约为62ms。

当计数值到达0时,MOSCXTS位将被置位,以指示主时钟(Main Clock)有效。如果PMC_IMR寄

存器中的MOSCXTS位处于置位状态,则还可以触发一个到处理器的中断。

26.6.44.3

用户可以选择4/8/12MHz快速RC振荡器或3-20MHz晶体振荡器做为主时钟的时钟源。

4/8/12MHz快速RC振荡器的优点是可以快速启动,这是在启动系统时选择它做为默认时钟源以及

进入待机模式时选择它为时钟源的原因。

3-20MHz晶体振荡器的优点是非常精确。

通过设置主振荡器寄存器(CKGR_MOR)中的MOSCSEL位来实现时钟源的选择。主时钟源可以

无干扰地切换,所以切换时没有必要关闭SLCK、PLLACK和PLLBCK,通过功耗管理控制器状态

寄存器(PMC_SR)中的MOSCSELS位就可得知切换何时完成。

如果置位PMC_IMR寄存器中的MOSCSELS位,则还可以触发一个到处理器的中断。

26.6.44.4频率计数器

这个设备是一个主时钟频率计数器,它提供主时钟的频率。

465

这个设备是一个主时钟频率计数器,它提供主时钟的频率。

在如下情况下,主时钟频率计数器将复位,并在慢时钟的下一个上升沿后开始按主时钟的速度

递增。

?选择4/8/12MHz快速RC振荡器时钟为主时钟的时钟源,且振荡器已稳定(也就是,

MOSCRCS位置位时);

?选择3-20MHz晶体振荡器为主时钟的时钟源,且振荡器已稳定(也就是,MOSCXTS位置位

时);

?当主时钟振荡器选择发生改变时。

然后,在慢时钟的第16个下降沿时,时钟发生器主时钟频率寄存器(CKGR_MCFR)中的

MAINFRDY位被置位,计数器停止计数。可以通过读取CKGR_MCFR寄存器的MAINF域得到计

数器的值,该值反映了16个慢时钟周期内主时钟周期的个数。这样,4/8/12MHz快速RC振荡器

或3-20MHz晶体振荡器的频率就可以被确定了。

系列

66

SAM3U 系列

PLLA 方框图

PLLA 内嵌了一个输入分频器以增加其产生的时钟信号的精度。然而,当用户对分频器进行编程时必须考虑到PLLA 的最小输入频率要求。

图26-4所示为分频器和PLLA 的方框图。图2626--4.分频器和PLLA 方框图

PLLACK

26.5.1的编程

分频器的值设置在1和255之间,步进为1。当分频域(DIV )被设置为0时,相应分频器的输出和锁相环的输出都是连续的0电平信号。复位时,每个DIV 域都设置为0,所以相应的PLL 输入时钟也被设置为0。

PLLA 允许对分频器的输出信号进行倍频。PLLA 时钟信号频率取决于各自的时钟源信号频率、DIVA 及MULA 参数。应用于时钟信号频率的因子为(MULA +1)/DIVA 。当MULA 被设为0时,PLLA 被禁止,以节省其功耗。向MUL 域写入一个大于0的值可重新允许PLLA 。

每当允许PLLA 或修改其参数时,PMC_SR 寄存器中的LOCKA 位都会被自动清零。写入到CKGR_PLLAR 寄存器PLLACOUNT 域中的值将被加载到PLLA 计数器。之后,PLLA 计数器将按慢时钟开始递减直到其值为0。此时,PMC_SR 寄存器中的LOCK 位被置位,并可以触发一个到处理器的中断。为完成PLLA 过渡,用户必须将所需时间的慢时钟周期个数加载到PLLACOUNT 域中。

通过设置PMC_MCKR 寄存器中的PLLADIV2位域,可将PLLA 时钟2分频。

26.6

锁相环的编程

UTMI PLL 的时钟源为主时钟MAINCK 。当4/8/12MHz 快速RC 振荡器被选为MAINCK 的时钟源时,其频率必须选为12MHz ,因为UTMI PLL 倍频器内置了一个40倍的倍频器,以获得480MHz 的USB 高速时钟。

使用USB 时需要使用12MHz 的晶体振荡器。

467

图2626--5.UTMI PLL 方框图

UPLLCK

每当通过设置CKGR_UCKR 寄存器的UPLLEN 位允许UTMI PLL 时,PMC_SR 寄存器的LOCKU 位都将自动清零。写入CKGR_UCKR 寄存器PLLCOUNT 域的值将被加载到UTMI PLL 计数器;然后UTMI PLL 计数器将按慢时钟的频率八分之一开始递减,直到其值为0。此时,PMC_SR 寄存器的LOCKU 位将被置位,且触发一个到处理器的中断。为完成UTMI PLL 过渡,用户必须将所需时间的慢时钟周期个数加载到PLLCOUNT 域中。

系列

68

主板时钟电路工作原理

时钟电路工作原理:3.3v电源经过二极管和电感进入分频器后,分频器开始工作,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450---700欧之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚常生的频率总和是14.318M。 总频(OSC)在分频器出来后送到PCI槽的B16脚和ISA的B30脚。这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC线上还电容。总频线的对地阻值在450---700欧之间,总频时钟波形幅度一定要大于2V电平。如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形;有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,也不一定有频率。总频一定正常,可以说明晶体和分频器基本上正常,主要是晶体的振荡电路已经完全正常, 反之就不正常。 当总频产生后,分频器开始分频,R2将分频器分过来的频率送到南桥,在南桥处理过后送到P CI槽B8和ISA的B20脚,这两脚叫系统测试脚,这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V,这两脚的阻值在450---700欧之间,由南桥提供。 在主板上RESET和CLK者是南桥处理的,在总频正常下,如果RESET和CLK都没有,在南桥电源正常情况下,为南桥坏。主板不开机,RESET不正常,先查总频。在主板上,时钟线 比AD线要粗一些,并带有弯曲。 二、主板时钟芯片电路及时序关系讲解 1、概述 主板时钟芯片电路提供给CPU,主板芯片组和各级总线(CPU总线,AGP总线,PCI总线,ISA总线等)和主板各个接口部分基本工作频率,有了它,电脑才能在CPU控制下,按步就班,协调地完成各项功能工作: 2、石英晶体多谐振荡器 a、解释说明,主板时钟芯片即分频器的原始工作振荡频率,由石英晶体多谐振荡器的谐振频率来产生,提供给分频率一个基准的14.318MHZ的振荡频率,它是一个多谐振荡器的正反馈环电路,也就是说它把输入作为输出,把输出作为输入的反馈频率,象这样一个永无休止的循环自激过程。 b、基本电路部分: c、分频器(时钟芯片)电路部分:分频器基本工作条件;石英晶体多谐振荡器提供14.318MHZ基准频率.;VCC(3.3V)工作电压(依具体时钟芯片而定);V SS接地线(~);滤波电容(对分频器产生的各级频率进行标正微调;分频器产生的各级总线时钟;CPU外部总线时钟频率(CPU CLOCK):66MHZ.100MHZ.133MHZ内存控制管理器总线时钟频率(DIMM):66MHZ.100.133MHZ;AGP总线时钟频率:66MH Z;PCI总线时钟频率:33MHZ;ISA总线时钟频率:8MHZ。 d、基本时序关系: CPU 66、100、133 PCI(33MHZ) ISA(8MHZ) 三、图解 频率发生器芯片

单片机电子时钟的设计报告

目录 1 引言 (1) 2 设计任务与要求 (2) 2.1. 设计题目 (2) 2.2. 设计要求 (2) 3 系统的功能分析与设计方案 (3) 3.1. 系统的主要功能 (3) 3.2. 系统的设计方案 (3) 3.3. 数码管显示工作原理 (4) 3.4. 电路硬件设计 (5) 3.4.1. 设计原理框图 (5) 3.4.2. 电源部分 (5) 3.4.3. 复位电路 (6) 3.4.4. 指示灯电路 (6) 3.4.5. 按键电路 (7) 3.4.6. 时钟电路 (7) 3.4.7. 驱动电路 (8) 3.4.8. 数码管连接电路 (8) 3.4.9. 主控模块AT89S52 (9) 3.4.10. 材料清单 (10) 3.4.11. 电路原理图、PCB图及实物图 (11) 3.5. 软件设计 (13) 3.5.1. 软件设计流程 (13) 3.5.2. 完整源程序 (15) 4 系统安装与调试 (21) 4.1. 硬件电路的安装 (21) 4.2. 软件调试 (21) 5 课程设计总结 (22) 参考文献 (23) 致谢 (24)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面。这次课程设计通过对它的学习、应用,以AT89S52芯片为核心,辅以必要的电路,设计了一个简易的单片机电子时钟,包括硬件电路原理的实现方案设计、软件程序编辑的实现、电子时钟正常工作的流程、硬件的制作与软件的调试过程。电子时钟由5.0V直流电源供电,数码管能够比较准确显示时间,通过按键能够调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机;AT89S52;电子时钟;数码管;按键

单片机时钟电路的设计

单片机时钟电路的设计 单片机内部虽有振荡电路,但要形成时钟必须在外总附加电路。 MCS-51单片机的时钟产生方法有如下两种。 1内部时钟方式 利用芯片内部的振荡电路,在XTAL1和XTAL2引脚上外接定时元件,内部振荡电路便产生自激振荡,用示波器可以观察到XTAL2输出时的时钟信号。 最常用的内部时钟方式是采用外接晶体(在频率稳定性要求不高而希望尽可能廉价时,可选用陶瓷谐振器)和电容组成的并联谐振回路,HMOS型和CHMOS型单片机和并联,谐振回路及参数相同。 振荡晶体可在1. 2MHz~12MHz之间。电容值无严格要求,但电容取值对振荡频率输出的稳定性、大小和振荡电路起振速度有少许影响,CX1和CX2可在20p~100pF间取值,但在60PF~70PF时振荡器有较高的频率稳定性。 在设计PCB板时,晶体或陶瓷谐振器和电容应尽可能靠近单片机芯片安装,以减少寄生电容,更好的保护振荡电路稳定可靠的工作。为了提高温度稳定性,采用NPO电容。2外部时钟方式 外部时钟方式是利用外部振荡信号源直接接入XRAL1或XTAL2。由于HMOS和CHMOS单片机内部时钟进入的引脚不同(CHMOS型单片同由XTAL1进入,HMOS 型单片机由XTAL2进入),其外部振荡信号源的接入方法也不同。HMOS型单片机的外部振荡信号接至XTAL2,而内部的反相放大器的输入端XTAL1应接地。由于XTAL2端的逻辑电平不是TTL的,故建议外接一个上拉电阻。而XTAL2不可以接地。 在CMOS电路中,因内部时钟引入端取自反相放大器的输入端(即与非门的输入端),故采用外部振荡信号源时接线方式与HNOS型有所不同,外部信号接至XTAL1,而XTAL2不可以接地。外部振荡信号通过去一个2分频的触发器而成为一个时钟信号。故对外部信号的占空比没什么要求,但高电平持续时间和低电平持续时间应大于20ns.

数字钟电路pcb设计

¥ 摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片 — 【

目录 前言 (1) 第一章@ 第二章绪论 (2) 数字钟的研究背景和意义 (2) 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 电路组成方框图 (3) 电路原理图制作 (3) 原理图环境设置 (4) 绘制原理图 (5) $ 电气规则检查及网络表输出 (7) 原理图分析 (10) 晶体振荡器 (10) 分频器 (11) 计数器电路 (12) 显示和译码电路 (12) 电源电路 (13) 第三章电路板PCB设计 (14) , PCB设计规范 (14) PCB设计流程 (17) 输出光绘文件 (21) PCB制件作 (23)

心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28) "

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

时钟电路基本原理

1时钟供电组成 时钟电路主要由时钟发生器(时钟芯片)、、、和等组成。 ● 时钟芯片时钟芯片主要有S. Winbond、 PhaseLink. C-Medi a、IC. IMI等几个品牌,主板上见得最多的是ICS和Winbond两种,如图6-1、图6-2所示。 ● 晶振 时钟芯片通常使用的晶振,如图6-3所示。 晶振与组成一个谐振回路,从晶振的两脚之问产生的输入到时钟芯片,如图6-4所示。 判断品振是否工作,可以用测量晶振两脚分别对地是否有(以上),这是晶振工作的前提条件,再用示波器测量晶振任意一脚是否有与标称频率相同的振荡正弦波输出(这是最准确的方法)。在没有示波器的情况下,可以直接更换新的晶振和谐振电容,用替换法来排除故障。 2 时钟电路工作原理 时钟电路的1=作原理图,如图6-5所示。 时钟芯片有电压输入后(有的时钟芯片还有一组电压),再有一个好信号,表示主板各部位所有的供电止常,于是时钟芯片开始工作。 晶振两脚产生的基本频率输入到时钟芯片内部的,从振荡器出来的基本频率经过“频率扩展锁相网路”进行频率扩展后输入到各个,

最后得到不同频率的时钟输出。 初始默认输出频率由频率选择锁存器输入引脚FS(4:0)设置,之后可以通过IIC总线再进行设置。 多数时钟芯片都支持IIC总线控制,通过一根双向的数据线(SD ATA)和一根时钟线( SCLK)对芯片的时钟输出频率进行设置。 图6-5中: 48MHz USB与48MHz DOT为固定48MHz时钟输出;3V66(3:1)共3组为的66MHz时钟输出: CPUCLKT (2:0)共3组为CPU时钟输出;CPUCLKC (2:0)共3组为CPU时钟输出,与CPUCLKT互为;CLK (6:0)共7组为 33MHz 的PCI时钟输出,输出到PCI插槽,有多少个PCI插槽就使用多少组。 主板的时钟分布如图6-6所示,内存总线时钟由北桥供给,部分主板电路设计有独立的内存时钟发生器,如图中虚线所示。 外频进入CPU后,乘以CPU的就是CPU实际的运行频率。例如外频是200MHz,CPU的倍频是14,那么CPU的实际运行频率是:200MHz ×14=。前端总线的频率是外频的整倍数。例如外频足133MHz,CPU 需要使用的前端总线频率是533MHz,那么就必须将133MHz外频4倍扩展,即133MHz×4=532MHz≈533MHz。 3 时钟电路故障检测 时钟电路故障通常足:全部无时钟,部分无时钟,时钟信号幅值(最高点电压)偏低。 其表现是开机无显示或不能开机。 诊断卡只能诊断PCI插槽或插槽有无时钟信号,并不代表主板其他部分的时钟就正常。最好使用示波器测量各个插槽的时钟输入脚或时钟芯片的各个时钟输出脚,看其频率和幅值是否符合,这是最准确的方法。 现在的CPU外频都已达到200MHz或更高,所以要测量CPU外频,要求示波器的带宽应在200MHz以上。

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

数字时钟设计(完全数字电路)

数字时钟设计 姓名 学号 专业电子信息技术 指导教师 成绩 日期

基于555的数字时钟显示 摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,通过555定时器改装的多谐震荡器发出的脉冲频率具有一定的准确性。在这次设计中对分频器、计数器、、译码器和显示器进行研究编译,并完成了各种器件的编译工作,实现数字钟的功能。有准确计时,以数字形式显示时、分、秒的时间和校时功能。秒和校时功能都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示。 1引言 随着科技的快速发展,数字电子钟在实际生活中的应用越来越广泛,小到普通的电子表,大到航天器等高科技电子产品中的计时设备。数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有整点报时附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。作为电子技术的一名学生掌握并能够独立自主设计一个数字电子钟是必要和必须的,既可以加深对课本上理论知识的理解又能锻炼自己的思考和解决问题的能力。于是,经过查阅许多相关书籍和浏览许多网络未找到目录项。资源,我做了这款简单数字电子钟的设计。 2 方案论证 2.1 原理设计和功能描述 2.1.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,12进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 2.1.2 数字电子钟总体框架图

手机供电电路与工作原理

手机供电电路结构和工作原理 一、电池脚的结构和功能。 目前手机电池脚有四脚和三脚两种:(如下图) 正温类负正温负 极度型极极度极 脚脚脚 (图一)(图二) 1、电池正极(VBATT)负责供电。 2、TEMP:电池温度检测该脚检测电池温度;有些机还参与开机,当用电池能开机,夹正负极不能开机时,应把该脚与负极相接。 3、电池类型检测脚(BSI)该脚检测电池是氢电或锂电,有些手机只 认一种电池就是因为该电路,但目前手机电池多为锂电,因此,该脚省去便为三脚。 4、电池负极(GND)即手机公共地。 二、开关机键: 开机触发电压约为2.8-3V(如下图)。 内圆接电池正极外圆接地;电压为0V。 电压为2.8-3V。 触发方式 ①高电平触发:开机键一端接VBAT,另一端接电源触发 脚。 (常用于:展讯、英飞凌、科胜讯芯片平台) ①低电平触发:开机键一端接地,另一端接电源触发脚。 (除以上三种芯片平台以外,基本上都采用低电平触发。如:MTK、AD、TI、飞利浦、杰尔等。) 三星、诺基亚、moto、索爱等都采用低电平触发。

三、手机由电池直接供电的电路。 电池电压一般直接供到电源集成块、充电集成块、功放、背光灯、振铃、振动等电路。在电池线上会并接有滤波电容、电感等元件。该电路常引起发射关机和漏电故障。 四、手机电源供电结构和工作原理。 目前市场上手机电源供电电路结构模式有三种; 1、 使用电源集成块(电源管理器)供电;(目前大部分手机都使用该电路供电) 2、 使用电源集成块(电源管理器)供电电路结构和工作原理:(如下图) 电池电压 逻辑电压(VDD) 复位信号(RST) 射频电压(VREF) VTCXO 26M 13M ON/OFF AFC 开机维持 关机检测 (电源管理器供电开机方框图) 1)该电路特点: 低电平触发电源集成块工作; 把若干个稳压器集为一个整体,使电路更加简单; 把音频集成块和电源集成块为一体。 2)该电路掌握重点: 电 源 管 理 器 CPU 26M 中频 分频 字库 暂存

基于51单片机的电子时钟设计源程序

#include unsigned char DispBuf[6]; //时间显示缓冲区 unsigned char Disdate[6]; //日期显示缓冲区 unsigned char DisSec[6]; //秒表缓冲区 struct //设定时间结构体 { unsigned char Hour; unsigned char Min; unsigned char Sec; }Time; struct //设定日期结构体 { unsigned char Year; unsigned char Month; unsigned char Days; }Date; struct //设定毫秒结构体 { unsigned char Minite; unsigned char Second; unsigned char MilliSec; }Millisecond; unsigned char point=0; unsigned char point1=0; unsigned char point2=0; unsigned char Daymount; unsigned char Daymount1; unsigned char T0_Int_Times=0; //中断次数计数变量 unsigned char Flash_flag=0; //闪烁标志,每半秒闪烁 unsigned char Flash_flag1=0; //闪烁标志,每半秒闪烁 unsigned char DisPlay_Back=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char DisPlay_Back1=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char i,j; unsigned char SetMillisecond; //启动秒表 code unsigned char LEDCode[]={0x01,0xd7,0x22,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80}; //数码管显示代码 code unsigned char ErrorLEDCode[]={0x01,0xe7,0x12,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80};//绘制错误图纸的数码管显示代码 void DisPlayBuf(); void ChangeToDispCode(); void ChangeToDispCode1(); void changedate(); // 调日期 void displaydate(); // 显示日期 void makedays(); //确定每个月的日期 void runSec();

数电 简易数字计时时钟电路设计

闽南师范大学物理与信息工程院 课程设计报告课题:简易数字计时电路设计 姓名: 学号: 系别: 专业: 年级: 指导教师: 2013年11 月3 日

摘要:本课设是以并联谐振方式经过二分频产生一个秒脉冲,依次通过十分频、六分频、十分频三个电路产生一个时间能达到九分五十九秒的时钟。具有报警、清零、启动计时、暂停计时及继续计时等功能。在电源上也是采用简单实用的稳压电源。该电路节省成本,电路原理清晰,稍作修改可以用来当做闹钟、计时等。 关键词:计时报警 74LS161 CD4060 CD4011 74LS48

目录 1.设计任务 (4) 1.1 设计目的 (4) 1.2 设计要求 (4) 2.设计方案 (5) 2.1 设计总框图 (5) 2.1.1 设计思路 (5) 2.2 直流稳压电源 (5) 2.3 秒脉冲信号发生器电路 (6) 2.4 分频电路 (7) 2.5 显示及其驱动电路 (8) 2.6 即时时间设置电路 (8) 2.7 报警选频电路 (10) 2.8 蜂鸣器驱动电路 (10) 3.系统测试 (11) 3.1 电路的检查 (11) 3.2 电路板的调试及其问题 (11) 3.3 数据测量 (12) 4.结论 (14) 5.参考资料 (14) 6.附录 (14) 6.1 元器件清单 (14) 6.2 仪器设备清单 (15) 6.3 原理图 (15) 6.4 PCB图 (16) 6.5 实物图 (17)

1.设计任务 1.1设计目的 1.熟悉中、小规模数字集成电路的使用方法。 2.熟悉常用分频、计数、译码、显示等电路。 3.掌握数字电路设计、组装、调试方法。 1.2设计要求 1.具有“分”“秒”显示的计时电路(9分59秒)。 2.具有随时计时清零的功能。 3.秒信号产生、系统电源设计。 4.具有调整“分”“秒”的功能。 5.计时将满时具有声音提示功能: 9分51秒、53秒、55秒、57秒、59秒输出前4响低音,后1响高音鸣叫。步长为1秒,最后1响结束时正好为整点。(低音500Hz左右,高音1000Hz左右)。 7.用中小规模集成电路实现,画出系统框图、各单元逻辑电路图。 6.铺铜板板的大小(10cm * 10cm)。 2. 设计方案 2.1 设计总框图 图2.1简易数字计时电路设计总框图

台式机时钟电路的工作原理浅析

台式机时钟电路的工作原理浅析: DC3。5V电源给过二极管和L1(L1可以用0欧电阻代替)进入分频器后,分频器开始工作。,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700之间。在它的两脚各有1V左右的电压,由分频器提供。晶体产生的频率总和是14。318M。总频OSC在分频器出来后送到PCI的B16脚和ISA的B30脚,这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地阻值在450-700欧之间。总频的时钟波形幅度一定要大于2V。如果开机数码卡上的OSC灯不亮,先查晶体两的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形为晶体坏。没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,分频器开始分频,R2将分频器分过来的频率送到南桥,在面桥处理过后送到PCI的B39脚(PCICLK)和ISA的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1。5V,这两脚的阻值在450-700欧之间,由南桥提供。在主板上,RST和CLK都是由南桥处理的,在总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开,RST不正常,是先查总频。在数码卡上有OSC灯和RST灯,没有CLK灯的故障:先查R3输出的分频有没有,没有,在线路正常的情况下,分频器坏。CLK的波形幅度不够:查R3输出的幅度够不够,不够,分频器坏。够,查南桥的电压够不够,够南桥坏;不够,查电源电路。R1将分频器分过来的频率送给CPU的第六脚(在CPU上RST脚旁边,见图纸),这个脚为CPU 时钟脚。CPU如果没有时钟,是绝对不会工作的,CPU的时钟有可能是由北桥提供。如果南桥上有CLK信号而CPU上没有,就可能是分频器或南桥坏。R4为I/O提供频率。 在主板上,时钟线比AD线要粗一些,并带有弯曲。频率发生偏移,是晶体电容所导致的,它的现象是,刚一开机就会死机,运行98出错。分频器本身坏了,会导致频率上不上去。和晶体无关。CPU 的两边为控制处(位置见图),控制南桥和分频器,当频率发生偏移,会自动调整。 说明:此文选自江湖郎中主板维修,看后大有受益,推荐文友浏览。绝无剽窃之意。

电子时钟的设计及程序

电子时钟的设计及程序 一.设计目的: 1.理解掌握定时/计数器和中断的使用方法。 2.掌握微机常用的输入输出方式及接口技术。 3.掌握一定的汇编语言知识,培养自己的动手操作能力。 4.学习程序设计的基本思路和方法。 二.程序内容: 第一部分:定义显示界面。 第二部分:调用系统时间,并将调用的用二进制表示的时间数转换成ASCII码,并将时间数存入内存区。 第三部分:将存在系统内存区的时间数用显示字符串的形式显示出来。 第四部分:获取键盘的按键值,以ESC键退出系统返回DOS。三.程序设计原理: 首先在数据段开辟一显示缓冲区,用来存储系统时间。调用DOS中断,返回系统时间,并将来返回的二进制时间转换成ASCII码,方便时间显示时的调用。分别将来小时数、分钟数、秒数存入显示缓冲区,并最终存入一结束字符号’$’。调用DOS字符串显示功能将时间显示 出来。并调用屏幕I/O中断,定位光标的开始位置,结合着将时间显示在我们预先定义好的位置上。由于获取了的系统时间不会自动刷

新,所以我们要设计成刷新的方式来不断获取系统的时间,这样就形成了会跳动的电子钟了。调用延时TIME延时中断服务程序,累加到存放秒值的寄存器DL中,并进行十进制调整。在累加的过程中,不断地对时、分、秒值进行比较,秒不能等于60,分不能等于60,时不能等于24。秒等于限制值时,则使秒值为0分值加1;分等于限制值时,则使分值为0时值加1;时等于限制值时,则使时值为0;时、分、秒值都不超过限制值时,就转显示屏输出。时间显示的刷新要配合延时程序进行,为了得到良好的显示效果,延时程序要尽量接近1秒,但又不能超过一秒,所以本程序调用了一段较精确的时间延迟程序。利用BIOSS设计窗口,选择适当的背景和前景等,使屏幕显示更加完美。程序一旦进入运行,就将不间断地在显示屏显示时间,要想程序停止运行,可同时在键盘按下ESC键返回DOS系统。四.程序流程图如下: DATA SEGMENT ;设置数据段 BUF1 DB 'THE TIME IS NOW: $' BUF5 DB ' @@@@@ ^^^^^^^ @@@@@@ $' BUF6 DB ' &&&&&& ####### &&&&&& $' BUF7 DB ' 00 >o o < 00 $' BUF8 DB ' 00 (::) 00 $' BUF9 DB ' 00 ~~ 00 $' BUF10 DB ' 00 !! 00 $' BUF3 DB 'CLASS:040402206 $' BUF4 DB 'NAME:hu ling wei $' BUF2 DB 10 DB 10 DUP(?) DATA ENDS STACK SEGMENT STACK ;设置堆栈段

60s计时器的设计与实现

电子系统设计创新实验 报告 题目60s计时器的设计与实现 学生姓名高权黄盼徐传武易孟华 学生学号016321232404 07 14 15 专业名称电子信息工程 指导教师肖永军 2016年11月17 日

设计要求: 1、利用单片机定时器/计数器T0中断设计秒表。 2、实现基本的0-60秒计时。 3、以数码管作为显示器件,用单片机进行控制。

摘要 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字秒表,用AT89C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件晶振电路,复位电路,数码管显示电路来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:AT89C51 单片机数码管

一、系统总体设计 系统总体设计框图如图1所示,该系统共由时钟电路模块、复位电路模块、AT89C51单片机及数码管显示电路组成。其中主控制器用于系统控制,可以控制电路的开关的功能,系统中AT89C51单片机作为主控元件,计数器显示电路由数码管和驱动电路组成。 图1 系统总体设计框图 二、系统硬件设计 (1)复位电路 采用上电+按键复位电路,上电后,由于电容充电,使RST持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使用使RST 持续一段时间的高电平,从而实现上电加开关复位的操作。这不仅能使单片机复位,而且还能使单片机的外围芯片也同时复位。当程序出现错误时,可以随时使电路复位。 复位电路如图2所示:

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

电子时钟系统设计

《嵌入式系统》课程设计说明书 电子时钟系统 院部: 学生姓名: 指导教师:职称 专业: 班级: 学号:

湖南工学院嵌入式系统课程设计课题任务书 2.显示的时间为开发板当前的系统时间,显示的结果随着系统时间变化而变 I

摘要 嵌入式操作系统是一种支持嵌入式系统应用的操作系统软件,它是嵌入式系统( 包括硬、软件系统) 极为重要的组成部分,通常包括与硬件相关的底层驱动软件、系统内核、设备驱动接口、通信协议、图形界面、标准化浏览器等Browser 。嵌入式操作系统具有通用操作系统的基本特点,如能够有效管理越来越复杂的系统资源;能够把硬件虚拟化,使得开发人员从繁忙的驱动程序移植和维护中解脱出来;能够提供库函数、驱动程序、工具集以及应用程序。与通用操作系统相比较,嵌入式操作系统在系统实时高效性、硬件的相关依赖性、软件固态化以及应用的专用性等方面具有较为突出的特点。嵌入式技术已成为信息产业中发展最快、应用最广的计算机技术之一,并被广泛应用于网络通信、消费电子、医疗电子、工业控制和交通系统等领域。 本次设计采用QT程序开发框架开发的模拟时钟程序,使用Linux系统到嵌入式终端移植和交叉编译环境搭建,最终成功实现了在嵌入式终端的运行。 关键词:嵌入式系统;QT;模拟时钟;Linux系统

目录 1绪论 (1) 1.1 设计背景 (2) 1.2 设计目的和意义 (2) 2 嵌入式Linux系统 (2) 2.1 嵌入式Linux概念 (2) 2.2 嵌入式Linux组成 (2) 3 Qt工具 (3) 3.1 Qt简介 (3) 3.2 Qt优点 (3) 4 模拟时钟的设计 (4) 4.1 代码的编写 (4) 4.2 代码的调试与运行 (4) 5 模拟时钟到开发板的下载 (6) 5.1 交叉编译环境的构建 (7) 5.2 模拟时钟到开发板的下载运行 (7) 结论 (10) 参考文献 (11) 致谢 (12) 附录 (13)

电路组成与工作原理

X1226具有时钟和日历的功能,时钟依赖时、分、秒寄存器来跟踪,日历依赖日期、星期、月和年寄存器来跟踪,日历可正确显示至2099年,并具有自动闰年修正功能。拥有强大的双报警功能,能够被设置到任何时钟/日历值上,精确度可到1秒。可用软件设置1Hz、4096Hz或32768Hz中任意一个频率输出。 X1226提供一个备份电源输入脚VBACK,允许器件用电池或大容量电容进行备份供电。采用电容供电时,用一个硅或肖特基二极管连接到Vcc和充电电容的两端,充电电容连接到Vback管脚,注意不能使用二极管对电池充电(特别是锂离子电池)。切换到电池供电的条件是Vcc=Vback-0.1V,正常操作期间,供电电压Vcc必须高于电池电压,否则电池电量将逐步耗尽。振荡器采用外接32.768kH的晶体,产生的振荡误差可通过软件对数字微调寄存器、模拟微调寄存器的数值进行调节加以修正,避免了外接电阻和电容的离散性对精度的影响。4Kb的EEPROM可用于存储户数据。 电路组成及工作原理 X1226可与各种类型的的微控制器或微处理器接口,接口方式为串行的I2C接口。其中数据总线SDA是一个双向引脚,用于输入或输出数据。其漏极开路输出在使用过程中需要添加4.7~10kΩ的上拉电阻。本文介绍89C51单片机与X1226的接口方法,由于89C51单片机没有标准的I2C接口,只能用软件进行模拟。 图1 为了更直观地看到时间的变化,采用8位LED数码管显示年、月、日或时、分、秒,用PS7219A驱动LED数码管,数码管选择0.5英寸共阴极红色或绿色LED数码管。由于PS7219A器件含IMP810单片机监

控器件,复位输出高电平有效,因此在使用51系统时,无须添加监控器件,使用PS7219A的复位输出给51单片机复位即可,监控电压为4.63V。硬件设计原理图如图1所示。 在硬件通电调试过程中,不能用手去触摸X1226的晶体振荡器,否则可能会导致振荡器停振,恢复振荡器起振的方法是关闭电源(包括备份电源)后重新上电。另外需要说明的是,测量振荡器时,不要用示波器的探头去测量X2的振荡输出,应该用探头测量PHZ/IRQ的振荡输出,以确定是否起振和振荡频率是否准确,测量时建议在该脚加一个5.1kΩ的上拉电阻。 软件设计 X1226含实时时钟寄存器(RTC)、状态寄存器(SR)、控制寄存器(CONTROL)、报警寄存器(Alarm0、Alarm1)和客户存储数据的存储器。由于实时时钟寄存器和状态寄存器需要进行频繁的写操作,因此其存储结构为易失性SRAM结构。其他寄存器均为EEPROM结构,写操作次数通常在10万次以上。X1226初始化程序框图如图2所示,子程序YS4的作用是延时4μs。 图2

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

主板时钟电路工作原理

主板时钟电路工作原理 时钟电路工作原理: DC3.5V电源经过二极管和L1(L1可以用0Ω电阻代替)进入分频器后,分频器开始工作,和晶体一起产生振荡。在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700Ω之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚产生的频率总和是14.318M。 总频OSC在分频器出来后送到PCI槽的B16脚和ISA槽的B30脚(这两个脚叫OSC 测试脚)。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地电阻在450-700Ω之间。总频的时钟波形幅度一定要大于2V。 如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏。若无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率,有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,可以说明晶体和分频器基本正常,主要是晶体的振荡电路已经完全正常,反之就不正常。 当分频产生后,分频器开始分频,R2经分频器过来的频率送到南桥,在南桥处理过后送到PCI槽的B39脚(PCICLK)和ISA槽的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V。 在主板上,RST和CLK都是由南桥处理的。若总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开机,RST灯不正常,要先查总频。如果在数码卡上有OSC灯和RST灯,没有CLK灯的话,先查R3输出的分频有没有。若没有,在线路正常的情况下,一般是分频器坏。如果CLK的波形幅度不够,那得先查R3输出的幅度够不够。若不够,一般为分频器坏。若够,查南桥的电压够不够。若够,南桥坏;不够,查电源电路。 R1将分频器分过来的频率送给CPU的第6脚(在CPU上RST较旁边,见图纸),这

相关文档