文档库 最新最全的文档下载
当前位置:文档库 › 电子科技大学数字设计原理与实践期末试题2007-2008-b

电子科技大学数字设计原理与实践期末试题2007-2008-b

电子科技大学数字设计原理与实践期末试题2007-2008-b
电子科技大学数字设计原理与实践期末试题2007-2008-b

………密………封………线………以………内………答………题………无………效……

电子科技大学二零零七至二零零八学年第二学期期末考试

数字逻辑设计及应用 课程考试题 中文B 卷 (120分钟) 考试形式:闭卷 考试日期 2008年7月 8 日

课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末 60 分

一、填空题 (每空1分,共5分)

1.五个变量构成的所有最小项之和等于 ( 1 )。

2.已知某数的二进制原码表示为 ( 110110) 2 , 则其对应的8-bit 补码表示为 ( 11101010 )2。 3.已知∑

=

C

B A F ,,)3,0(,则∑='

C B A F ,,( 1,2,4,5,6,7 )。

4.要使D 触发器按'*Q Q =工作,则D 触发器的输入D=( Q’ )。 5.用移位寄存器产生1101010序列,至少需要( 6 )位的移位寄存器。

二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分)

1. 若要将一异或门当作反相器(非门)使用,则输入端A 、B 端的连接方式是( B )。

A. A 或B 中有一个接“0”

B. A 或B 中有一个接“1”

C. A 和B 并联使用

D. 不能实现 2.组合电路的竞争冒险是由于( D )引起的。

A. 电路不是最简

B. 电路有多个输出

C. 电路中使用不同的门电路

D. 电路中存在延时

3.某一逻辑函数真值表确定后,下面描述该函数逻辑功能的表达式中,具有唯一性的是( B )。

A .该逻辑函数的最简与或式

B .该逻辑函数的积之和标准型

C .该逻辑函数的最简或与式

D .该逻辑函数的和之积式

4.若最简状态转换表中,状态数为n ,则所需状态变量数K 为 ( C )的整数. A .n K 2log = B .n K 2log < C . n K 2log ≥ D . n K 2log ≤

………密………封………线………以………内………答………题………无………效……

图1

5.某计数器的状态转换图如图1所示,其该计数器的模为( B )。

A . 八 B. 五 C. 四 D. 三

三、 组合电路分析:(共10分)

1.求逻辑函数 Z Y X Y X Z X F ?'?+?+?'= 的最简积之和表达式。(4分)

解:Y X Z F ?+=

Z Y X Z X Y X Z X Z Y Y X Z X Z Y X Y X Z X F +?=?+?+?=?'+?+?'=?'?+?+?'=')(

2.已知逻辑函数∑

=

Z

Y X F ,,)7,5,1(, 请写出该函数的标准和(最小项之和)表达式:(3分)

解:Z Y X Z Y X Z Y X F ??+?'?+?'?'=

3.找出逻辑表达式X W Y W F ?+'?'=对应的电路的所有静态冒险。(3分)

解:当XY=10,W 变化时,存在静态1冒险。

四、组合电路设计:(共15分)

1、试用一片三输入八输出译码器

74X138和适当的与非门实现函数:

∑=Z Y X W F ,,,)15,14,10,6,3(

画出电路连接图。译码器如下图所示。 (7分)

………密………封………线………以………内………答………题………无………效……

解:∑∑?==Z X W Z Y X W Y F ,,,,,)7,6,4,2,1()15,14,10,6,3(,电路连接图:

2、一个多路复用器,具有4个2位输入总线P 、Q 、R 、T ,3个选择输入端S2~S0根据表1选定4个输入总线中的一个来驱动2位输出总线Y 。如图2所示,可以使用一片74x153(四选一多路复用器)和一个码转换器实现该功能,试写出图2中“码转换器”对应的真值表和逻辑表达式。(8分)

码转 换器

………密………封………线………以………内………答………题………无………效……

解:真值表:

逻辑表达式:)12(1'?=S S C ,)01(0'?=S S C

五、 时钟同步状态机设计: (共20分)

1、 写出一个3位同步格雷(GRAY )码计数器的转移/输出表:(5分)

解:

2、构造J-K 触发器的应用表。已知某状态机的转移/输出表如表2所示,写出针对J-K 触发器的激励/输出表。(10分)

解:

………密………封………线………以………内………答………题………无………效……

表2:转移/输出表

Q1Q0 X 0 1

00 01,0 10,0

01 11,0 01,0

11 01,1 00,0

10 01,0 11,0 Q1*Q0*,Z

3、已知某状态机针对D 触发器的激励/输出表如表3所示,请导出最小成本激励方程和输出方程。(5分)

解:激励方程:X Q X Q D ?+?=101,X Q Q D ?'?'=010 输出方程:X Q Z ?=1

六、时钟同步状态机分析: (共20分)

1、已知电路如图3所示,写出电路的激励方程、转移方程并建立转移表;(10分)

解:激励方程:X J =1,21'=Q K ,

X J =2,12Q K = 转移方程:1211111*1Q Q Q X Q K Q J Q ?+'?=?'+'?=,

2122222*2Q Q Q X Q K Q J Q ?'+'?=?'+'?=

2、已知某时序电路的转移/输出表如表4所示,请画出与输入波形对应的输出Y 的波形图(设起始状态为Q 1Q 0=00)。 (5分)

图3

………密………封………线………以………内………答………题………无………效……

3、构造一个与图4所示状态图等效的状态/输出表。(5分)

’Y ’

七、设计一个MEAL Y 型序列检测器,当且仅当输入X 是1111或1101时,输出Z 为1。允许重叠。写出最简状态/输出表或状态图。 (10分) 比如:

X : 0 0 1 1 0 1 1 1 1 0 1 1 1 0 1 0 0 0 0 Z : 0 0 0 0 0

1

1

1

1

4

………密………封………线………以………内………答………题………无………效……

八、74x163为同步清零,同步计数的4位二进制计数器,利用74x163和集成多路选择器74x151构成的序列发生器电路如图5所示。(10分)

1)试分析当M=0和M=1时,电路中Q2Q1Q0的输出序列以及计数器分别工作在几进制。(6分)

2)写出当M=0和M=1输出Y处产生的序列。(4分)

图5

解:1)M=0时,Q2Q1Q0的输出序列为:000→001→010→011→100→101→110→111→000→?,为八进制计数器;(

M=1时,Q2Q1Q0的输出序列为:001→010→011→100→101→110→111→001→?,为七进制计数器。

2)M=0时,输出Y处产生的序列:11101010;M=1时,输出Y处产生的序列:1011010。

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

混凝土结构设计原理试题库及其参考答案

混凝土结构设计原理试题库及其参考答案 一、判断题第1章钢筋和混凝土的力学性能 1.混凝土立方体试块的尺寸越大,强度越高。 2.混凝土在三向压力作用下的强度可以提高。 3.普通热轧钢筋受压时的屈服强度与受拉时基本相同。 4.钢筋经冷拉后,强度和塑性均可提高。 5.冷拉钢筋不宜用作受压钢筋。6.C20表示fcu=20N/mm。 7.混凝土受压破坏是于内部微裂缝扩展的结果。 8.混凝土抗拉强度随着混凝土强度等级提高而增大。 9.混凝土在剪应力和法向应力双向作用下,抗剪强度随拉应力的增大而增大。 10.混凝土受拉时的弹性模量与受压时相同。 11.线性徐变是指压应力较小时,徐变与应力成正比,而非线性徐变是指混凝土应力较大时,徐变增长与应力不成正比。 12.混凝土强度等级愈高,胶结力也愈大 13.混凝土收缩、徐变与时间有关,且互相影响。第3章轴心受力构件承载力 1.轴心受压构件纵向受压钢筋配置越多越好。 2.轴心受压构件中的箍筋应作成封闭式的。 3.实际工程中没有真正的轴心受压构件。 4.轴心受压构件的长细比越大,

稳定系数值越高。 5.轴心受压构件计算中,考虑受压时纵筋容易压曲,所以钢筋的抗压强度设计值最大取为400N/mm2。 6.螺旋箍筋柱既能提高轴心受压构件的承载力,又能提高柱的稳定性。 第4章受弯构件正截面承载力 1.混凝土保护层厚度越大越好。 2.对于 xh'f的T形截面梁,因为其正截面受弯承载力相当于宽度为b'f的矩形截面梁,所以其配筋率应按 Asb'来计算。 4.在截面的受压区配置一定数量的钢筋对于改善梁截面的延性是有作用的。 5.双筋截面比单筋截面更经济适用。 6.截面复核中,如果 b,说明梁发生破坏,承载力为0。 7.适筋破坏的特征是破坏始自于受拉钢筋的屈服,然后混凝土受压破坏。 8.正常使用条件下的钢筋混凝土梁处于梁工作的第Ⅲ阶段。 9.适筋破坏与超筋破坏的界限相对受压区高度b的确定依据是平截面假定。 第5章受弯构件斜截面承载力 1.梁截面两侧边缘的纵向受拉钢筋是不可以弯起的。 2.梁剪弯段区段内,如果剪力的作用比较明显,将会

电子科技大学模拟电路考试题及答案

电子科技大学 二零零七至二零零八学年第一学期期末考试 模拟电路基础课程考试题A卷(120分钟)考试形式:开卷 课程成绩构成:平时10分,期中30分,实验0分,期末60分 一(20分)、问答题 1.(4分)一般地,基本的BJT共射放大器、共基放大器和共集放大器的带宽哪个最大?哪个最小? 2.(4分)在集成运算放大器中,为什么输出级常用射极跟随器?为什么常用射极跟随器做缓冲级? 3.(4分)电流源的最重要的两个参数是什么?其中哪个参数决定了电流源在集成电路中常用做有源负载?在集成电路中采用有源负载有什么好处? 4.(4分)集成运算放大器为什么常采用差动放大器作为输入级? 5.(4分)在线性运算电路中,集成运算放大器为什么常连接成负反馈的形式?

二(10分)、电路如图1所示。已知电阻R S=0,r be=1kΩ,R1∥R2>>r be。 1.若要使下转折频率为10Hz,求电容C的值。 2.若R S≠0,仍保持下转折频率不变,电容C的值应该增加还是减小? 图1 三(10分)、电路如图2所示。已知差模电压增益为10。A点电压V A=-4V,硅三极管Q1和Q2的集电极电压V C1=V C2=6V,R C=10kΩ。求电阻R E和R G。 图2 四(10分)、电路如图3所示。已知三极管的β=50,r be=1.1kΩ,R1=150kΩ,R2=47k Ω,R3=10kΩ,R4=47kΩ,R5=33kΩ,R6=4.7kΩ,R7=4.7kΩ,R8=100Ω。 1.判断反馈类型; 2.画出A电路和B电路; 3.求反馈系数B; 4.若A电路的电压增益A v=835,计算A vf,R of和R if。

数字钟电路pcb设计

¥ 摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片 — 【

目录 前言 (1) 第一章@ 第二章绪论 (2) 数字钟的研究背景和意义 (2) 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 电路组成方框图 (3) 电路原理图制作 (3) 原理图环境设置 (4) 绘制原理图 (5) $ 电气规则检查及网络表输出 (7) 原理图分析 (10) 晶体振荡器 (10) 分频器 (11) 计数器电路 (12) 显示和译码电路 (12) 电源电路 (13) 第三章电路板PCB设计 (14) , PCB设计规范 (14) PCB设计流程 (17) 输出光绘文件 (21) PCB制件作 (23)

心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28) "

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

《结构设计原理》试卷和答案

《结构设计原理》试题1 一、单项选择题 1.配螺旋箍筋的钢筋混凝土柱,其其核心混凝土抗压强度高于单轴混凝土抗压强度是因为【 C 】 A. 螺旋箍筋参与混凝土受压 B. 螺旋箍筋使混凝土密实 C. 螺旋箍筋横向约束了混凝土 D. 螺旋箍筋使纵向钢筋参与受压更强 2.钢筋混凝土轴心受拉构件极限承载力N u有哪项提供【 B 】 A. 混凝土 B. 纵筋 C. 混凝土和纵筋 D. 混凝土、纵筋和箍筋 3.混凝土在空气中结硬时其体积【 B 】 A. 膨胀 B. 收缩 C. 不变 D. 先膨胀后收缩 4.两根适筋梁,其受拉钢筋的配筋率不同,其余条件相同,正截面抗弯承载力M u【 A 】 A. 配筋率大的,M u大 B. 配筋率小的,M u大 C. 两者M u相等 D. 两者M u接近 5.钢筋混凝土结构中要求钢筋有足够的保护层厚度是因为【 D 】 A. 粘结力方面得考虑 B. 耐久性方面得考虑 C. 抗火方面得考虑 D. 以上3者 6.其他条件相同时,钢筋的保护层厚度与平均裂缝间距、裂缝宽度(指构件表面处)的关系是【 A 】 A. 保护层愈厚,平均裂缝间距愈大,裂缝宽度也愈大 B. 保护层愈厚,平均裂缝间距愈小,裂缝宽度也愈小 C. 保护层愈厚,平均裂缝间距愈小,但裂缝宽度愈大 D. 保护层厚度对平均裂缝间距没有影响,但保护层愈厚,裂缝宽度愈大 7.钢筋混凝土梁截面抗弯刚度随荷载的增加以及持续时间增加而【 B 】 A. 逐渐增加 B. 逐渐减少 C. 保持不变 D. 先增加后减少 8.减小预应力钢筋与孔壁之间的摩擦引起的损失σs2的措施是【 B 】 A. 加强端部锚固 B. 超张拉 C. 采用高强钢丝 D. 升温养护混凝土 9.预应力混凝土在结构使用中【 C 】 A. 不允许开裂 B. 根据粘结情况而定 C. 有时允许开裂,有时不允许开裂 D. 允许开裂 10.混凝土结构设计中钢筋强度按下列哪项取值【 D 】 A. 比例极限 B. 强度极限 C. 弹性极限 D. 屈服强度或条件屈服强度 二、填空题 11. 所谓混凝土的线性徐变是指徐变变形与初应变成正比。 12. 钢筋经冷拉时效后,其屈服强度提高,塑性减小,弹性模量减小。 13. 在双筋矩形截面梁的基本公式应用中,应满足下列适用条件:①ξ≤ξb;②x≥2a’,其中,第①条是为了防止梁破坏时受拉筋不屈服;第②条是为了防止压筋达不到抗

模拟电路期末试题,电子科大成都学院

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第一学期期中考试 模拟电路基础课程考试题 A 卷( 120 分钟)考试形式:开卷考试日期 2006 年 11月 11日课程成绩构成:平时 10 分,期中 30 分,实验 0 分,期末 60 分 一(14分)、问答题 1.(2分)从载流子的运动角度和伏安特性方程两个方面,分别简述PN结的单向导电性。 2.(2分)试说明由稳压二极管构成的最简稳压电路中为什么需要限流电阻。 3.(2分)以NPN型BJT单级共射放大器为例,试简要叙述确定动态范围的过程。 4.(2分)以单级稳基压偏置放大器为例,当环境温度降低时,试简要叙述稳定静态工作点的原理。5.(2分)在多级电压放大器中,为什么常常采用射极跟随器(或源极跟随器)作为输出级(最末级)?6.(2分)计算放大器的电压增益时,为什么通常需要计算静态工作点? 7.(2分)什么是多级放大器中的零点漂移现象?

………密………封………线………以………内………答………题………无………效……二(8分)、电路如图1所示,已知β= 100,V BE = 0.7V,V A = ∞,r be = 5.6kΩ。 1.求输入电阻R i; 2.求小信号源电压增益A vs = v o/v s; 3.求输出电阻R o。 图1

………密………封………线………以………内………答………题………无………效…… 三(8分)、在下图所示的分压式电路中,设三极管的电流放大系数为 ,三极管b、e之间的等效电阻为r be=3.5kΩ,电路中Vcc、V s、R1、R2、R C、R L,R E,R s均为已知, 1)试估算该电路的静态工作点,写出I BQ,I CQ,U CEQ的表达式。 2)画出中频段的交流小信号等效电路。 3)根据微变等效电路写出电压放大倍数A v及输入电阻Ri 和输出电阻Ro的表达式。 4)写出输出电压vo与提供的输入电压vs之间 (1)的比值,即A VS的表达式。 图2

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

混凝土结构设计原理考试试卷B

混凝土结构设计原理考试试卷 (20 07 至20 08 学年第 二 学期 期末)(B 卷) 一、选择:(每小题2分,共24分) 1. 在混凝土应力—应变关系曲线中,( )作为混凝土棱柱体抗压强度f c 。 A. 比例极限 B. 峰值应力点 C. 收敛点 D. 临界点 2. c c c E εσ='的是混凝土的(B )。 A. 弹性模量; B. 割线模量; C. 切线模量; D. 原点切线模量; 3. 剪扭构件的承载力计算公式中( ) A. 混凝土承载力部分考虑了剪扭相关性,钢筋则没考虑; B. 混凝土和钢筋都考虑了剪扭相关性; C. 混凝土和钢筋均没有考虑剪扭相关性; D. 混凝土承载力部分没有考虑剪扭相关性,钢筋考虑了; 4.条件相同的矩形截面梁加配了受压钢筋后,其实际受弯承载力与不配受压钢筋相比( ) A. 仅在x>2a'的情况下提高; B. 仅在x<2a'的情况下提高; C. 不一定提高; D. 肯定提高; 5. T、I形截面剪扭构件可分成矩形块计算,此时( ) A. 由各矩形块分担剪力; B. 剪力全由腹板承担; C. 剪力、扭矩全由腹板承担; D. 扭矩全由腹板承担; 6. 在双筋梁计算中满足2a'≤x ≤ξb h o 时,表明( ) A. 拉筋不屈服,压筋屈服; B. 拉筋屈服,压筋不屈服; C. 拉压筋均不屈服; D. 拉压钢筋均屈服; 7.小偏心受压构件破坏的主要特征是( )。 A. 受拉钢筋及受压钢筋同时屈服,然后压区混凝土压坏 B. 受拉钢筋先屈服,压区混凝土后压坏 C. 压区混凝土压坏,然后受压钢筋受压屈服 D. 压区混凝土压坏,距轴力较远一侧的钢筋不论受拉或受压均未屈服 8.钢筋混凝土轴心受拉构件中,钢筋的级别及配筋率一定时,为减少裂缝的平均宽度m W ,应尽量采用( )。 A. 直径较小的钢筋 B. 直径较大的钢筋 C. 提高混凝土强度等级 D. 多种直径的钢筋 9. 钢筋混凝土构件变形和裂缝验算中关于荷载、材料强度取值说法正确的是( C )。

桂林电子科技大学模电试卷1

义乌工商职业技术学院 模拟电子技术测验 试卷一 一、填空题:将正确的答案填入空格中。 (本大题分15小题,每小题2分,共30分) 1、在P 型半导体中,__________是多数载流子,__________是少数载流子。 2、下图所示电路中,设二极管导通时正向电压为0.7V ,则二极管处于__________状态,电流I D =__________。 3、 振荡器的振幅平衡条件为__________,而起振时,则要求__________。 4、 两个电流放大系数分别为β1和β2的BJT 复合,其复合管的β值约为__________。 5、 一个由NPN 型BJT 组成的共射极组态的基本交流放大电路,如果其静态工作点 偏低,则随着输入电压的增加,输出将首先出现__________失真;如果静态工作点偏高,则随着输入电压的增加,输出将首先出现__________失真。 6、 在低频段,当放大电路增益下降到中频增益的__________倍时,所对应的频率 称为下限频率。 7、 放大电路对不同频率的信号具有不同的增益而引起的输出波形失真称为 ____________________。 8、 理想运算放大器的差模输入电阻等于__________,开环增益等于__________。 9、 差动放大电路的共模抑制比定义为______________________________(用文字 或数学式子描述均可);在电路理想对称情况下,双端输出差动放大电路的共模抑制比等于__________。 10、单相桥式整流电路,若其输入交流电压有效值为10V ,则整流后的输出电压平 均值等于__________。 11、如下图(a)所示电路的输入v i 为正弦交流电压,其输出v o 的波形如下图(b)所示, 则可知功放管__________工作不正常。 2kΩ 10V 5V 3kΩ D I D

数字钟的电路设计

题目_________数字钟的设计___________ 班级_______机设12(4)班____________ 学号___________201210310422_________ 姓名___________卞旺武_______________ 指导____________鲁老师______________ 时间__________2014.6.16--2014.6.19____ 景德镇陶瓷学院

电工电子技术课程设计任务书

目录 1、数字钟的总体方案与原理说明. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1 2、555定时器构成的多谐振荡器电路图. . . . . . . . . . . . . . . . . . .a 3、秒、时计数器电路图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .b 4、译码器芯片与逻辑符号图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .c 5、秒、分、时校时电路原理图. . . . . . . . . . . . . . . . . . . . . . . . . . .d 6、总体电路原理相关说明. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .e 7、总体电路原理图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .f 8、元件清单;. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .g 9、参考文献. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .h 10、设计心得体会. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . i

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

混凝土结构设计原理期末试题库及其参考答案

第四版混凝土结构设计原理试题库及其参考答案 一、判断题(请在你认为正确陈述的各题干后的括号内打“√”,否则打“×”。每小题1分。) 第1章 钢筋和混凝土的力学性能 1.混凝土立方体试块的尺寸越大,强度越高。( ) 2.混凝土在三向压力作用下的强度可以提高。( ) 3.普通热轧钢筋受压时的屈服强度与受拉时基本相同。( ) 4.钢筋经冷拉后,强度和塑性均可提高。( ) 5.冷拉钢筋不宜用作受压钢筋。( ) 6.C20表示f cu =20N/mm 。( ) 7.混凝土受压破坏是由于内部微裂缝扩展的结果。( ) 8.混凝土抗拉强度随着混凝土强度等级提高而增大。( ) 9.混凝土在剪应力和法向应力双向作用下,抗剪强度随拉应力的增大而增大。( ) 10.混凝土受拉时的弹性模量与受压时相同。( ) 11.线性徐变是指压应力较小时,徐变与应力成正比,而非线性徐变是指混凝土应力较大时,徐变增长与应力不成正比。( ) 12.混凝土强度等级愈高,胶结力也愈大( ) 13.混凝土收缩、徐变与时间有关,且互相影响。( ) 第1章 钢筋和混凝土的力学性能判断题答案 1. 错;对;对;错;对; 2. 错;对;对;错;对;对;对;对; 第3章 轴心受力构件承载力 1.轴心受压构件纵向受压钢筋配置越多越好。( ) 2.轴心受压构件中的箍筋应作成封闭式的。( ) 3.实际工程中没有真正的轴心受压构件。( ) 4.轴心受压构件的长细比越大,稳定系数值越高。( ) 5.轴心受压构件计算中,考虑受压时纵筋容易压曲,所以钢筋的抗压强度设计值最大取为2/400mm N 。( ) 6.螺旋箍筋柱既能提高轴心受压构件的承载力,又能提高柱的稳定性。( ) 第3章 轴心受力构件承载力判断题答案 1. 错;对;对;错;错;错; 第4章 受弯构件正截面承载力 1.混凝土保护层厚度越大越好。( ) 2.对于' f h x 的T 形截面梁,因为其正截面受弯承载力相当于宽度为' f b 的

电子科技大学模拟电路简答题整理总汇 期末必备

1 画出BJT管输出特性曲线,简述各个区域的特点及偏置条件 截止区:ic几乎为0,电路不工作。发射结电压小于开启电压;集电结反偏; 放大区:ic=βib,ic几乎只与ib有关,与uCE无关,表现出ib对ic的控制作用。 发射结电压大于开启电压;集电结反偏。 饱和区:ic不仅与ib有关,还随uCE增大而明显增大,ic<βib。 发射结和集电结正偏。 BJT输出特性曲线表现的是IB为常数时ic与管压降UCE的关系。 2为什么BJT称为双极性晶体管,而FET称为单极晶体管,他们各自是哪种控制型器件。BJT管工作时两种载流子都参与导电;FET管仅有多数载流子参与导电;BJT管是电流控制器件;FET管是电压控制器件。 3 BJT管输出电压产生截止失真(饱和失真)的原因是什么,如何减小。 产生失真的原因是静态工作点Q设置不合理或者外加信号过大。 输出电压产生截止失真的原因是Q点过低,负半周期时IB过小导致BJT管进入截止区;适当减小RB以增大IB即可; 输出电压产生饱和失真的原因是Q点过高,正半周期时IC饱和导致BJT管进入饱和区;适当增大RB以减小IB即可 Q点位置适中的时候如果外加输入信号过大,产生双向失真。通过输入端接分压电路或者适当增大直流偏置电压。 4 直流电源在放大电路的作用是什么 ①为晶体管正常工作提供偏置电压; ②为电路提供能源 5 为什么要稳定静态工作点,有哪些方法 静态工作点不但决定电路是否会产生失真,还会影响到电压放大倍数、输入电阻等动态参数。引入直流负反馈或者使用温度补偿(靠温度敏感器件直接对IB产生影响)。 6 BJT管稳定静态工作点电路引入了哪种反馈,简述稳Q过程。 见6 7 有哪些耦合方式,各有什么特点? 直接耦合、阻容耦合、变压器耦合和光电耦合。 直接耦合:可放大直流信号、低频特性好、利于集成;静态工作点相互影响,存在零点漂移现象。 阻容耦合:各级静态工作点相互独立;只能放大交流信号、低频特性差、耦合过程有损耗,不利于集成。 变压器耦合:同阻容耦合,可实现阻抗变换。 光电耦合:实现电气隔离,抑制电干扰。

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

电科大-模拟电子技术试题3及答案

电子科技大学网络教育 一、 选择题 (每小题2,共10分 ) 1. BJT 依靠( )控制漏极电流íc 的器件。 A 电 压 B 电 流 C 电 阻 D 电 场 2.电流求和负反馈使输人电阻( )。 A 增加 B 不变 C 减少 D 不清楚 3. NPN 管放大偏值电路中,若V C 增加,则 I B ( )。 A 略有增加 B 略有减小 C 几乎不变 D 不定 4. 集成运放采用有源负载的目的是( )。 A 提高电压增益 B 减少温度漂移 C 稳定工作点 D 提高电流强度 5.若发现电路出现饱和失真,则为消除失真,可将( ) 。 A.R c 增大 B.R c 减小 C.V C C 减小 D V C C 增大 二、判断题(每题2分,共20分) 1、反向电流是由少数载流子形成,其大小与温度有关,而与外加电压无关。( ) 2、三极管是电压控制元件,场效应管是电流控制元件。( ) 3、晶体三极管具有放大作用时,发射结反偏,集电结正偏。( ) 4、三极管放大电路共有三种组态共射极、共集电极、共基集放大电路。( ) 5、为了稳定三极管放大电路和静态工作点,采用直流负反馈,为了减小输出电阻采用电压负反馈。( ) 6、差分放大器的基本特点是放大差模信号、抑制共模信号 。( ) 7、共模信号是大小相等,极性不同的两个信号。( ) 8、只有电路既放大电流又放大电压,才称其有放大作用。( ) 9、用低频信号去改变高频信号的频率称为调频,低频信号称为调制信号,高频信号称高频载波。( ) 10、晶体管电流放大系数是频率的函数,随着频率的升高而升高。共基极电路比共射极电路高频特性好。( ) 三、填空题 (每空2分,共20分) 1.差动放大器两个输入端的增益电压分别是1mV 和-1mV ,则输入的共模电压是 mV 。 2.反馈方程式AB A A f +=1中,A 、 B 符号 时为负反馈,A ,B 符号 时,为正反馈 。 3.在画放大电路的直流通路时,应该将电路中的电容 。 4. 运算放大器的输入级是 。 5.一个两级阻容耦合放大电路的前级和后级的静态工作点均偏低,当前级输入信号幅度足够大时,后级输出电压波形将 。

数电课程设计多功能数字钟的设计与实现

课程设计任务书 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《******大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。 3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。 4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言 (1) 1.1 数字钟简介 (1) 1.2 EWB简介 (1) 2 方案选择 (3) 3 系统框图 (4) 4 分电路设计 (5) 4.1 脉冲产生电路 (5) 4.1.1设计要求 (5) 4.1.2所需元件 (6) 4.1.3元件介绍 (6) 4.1.4参数计算 (7) 4.1.5电路设计 (8) 4.2计数电路 (9) 4.2.1秒电路 (9) 4.2.2分电路 (11) 4.2.3时电路 (13) 4.3显示电路 (14) 4.3.1所需元件 (14) 4.3.2元件介绍 (14) 4.3.3原理说明 (14) 4.3.4电路设计 (15) 4.4整点报时电路 (15)

四川大学-结构设计原理-期末考试-复习资料3套题

四川大学-结构设计原理-期末考试-复习资料3套题

《结构设计原理》模拟试题一 一.填空题(每空1分,共计20分) 1. 可靠度指结构在规定的时间内,在规定的条件下,完成预定功能的()。其中“规定的条件”是指()、正常施工、正常使用。 2. 永久荷载的分项系数是这样取的:当其效应对结构不利时,由可变荷载控制的效应组合取1.2,由永久荷载控制的效应组合取();对结构有利时,一般取()。 3. 热轧钢筋的强度标准值系根据()确定,预应力钢绞线、钢丝和热处理钢筋的强度标准值系根据()确定。 4. 砼徐变是指在压应力不变的情况下,()随时间增长的现象,它使受弯构件挠度和柱的附加偏心距()。 的工程意义是(); 5. 适筋梁中规定ρ≤ρ max ρ≥ρ 的工程意义是()。 min 6.影响砌体抗压强度的主要因素有块体和()

强度、块体尺寸、砂浆特性以及砌筑质量等,当采用水泥砂浆时,其抗压强度设计值应乘以调整系数()。 7.在受弯构件的正截面承载力计算时,可采用等效矩形压应力图形代替实际的曲线应力图形。两个图形等效的原则是()和()。 8.现行钢结构规范所示轴心受压构件的λ-?曲线是考虑初始缺陷按()理论进行计算而确定的,并根据板厚和截面形式共分为()条曲线。9. 钢筋砼受力构件的平均裂缝间距随砼保护层厚度增大而(),随纵筋配筋率增大而()。10. 先张法轴心受拉构件完成第一批损失时,混凝土的预压应力为(),完成第二批损失时,混凝土的预压应力为()。 二.单选题(每题2分,共计32分) 1.按使用功能划分的土木工程结构指以下何项? A. 承重结构、框架结构、剪力墙结构、框架-

结构设计原理期末考试答案

2018学年第一学期结构设计原理期终试卷班级姓名得分 一、单项选择题(每小题3分,共10题,共30分)。 1、下列哪项不属于结构的可靠性()。 A、安全性 B、美观性 C、适用性 D、耐久性 1、按照我国《公路桥涵设计通用规范》(JTC D60—2004)规定,公路桥涵结构的设计基准期为()年。 A、30 B、50 C、100 D、150 1、桥梁主要承重结构在竖向荷载作用下无水平反力称为()。 A、梁式桥 B、拱式桥 C、钢架桥 D、悬索桥 2、与素混凝土梁相比,钢筋混凝上梁承载能力()。 A、相同 B、提高许多 C、有所提高 D、不确定 2、钢筋混凝土梁在正常使用情况下()。 A、通常是带裂缝工作的 B、一旦出现裂缝,裂缝贯通全截面 C、一旦出现裂缝,沿全长混凝土与钢筋间的粘结力丧尽 D、通常是无裂缝的 2、钢筋与混凝土能共同工作的主要原因是()。 A、防火、防锈 B、混凝土对钢筋的握裹及保护 C、混凝土与钢筋有足够的粘结力,两者线膨胀系数接近 D、钢筋抗拉而混凝土抗压 3、安全等级为一级时,结构重要性系数 γ为()。 A、0.8 B、0.9 C、1.0 D、 1.1 3、当桥梁的破坏后果为严重时,其结构重要性系数 γ为()。 A、0.8 B、0.9 C、1.0 D、 1.1 3、小桥、涵洞的结构重要性系数 γ为()。 A、0.8 B、0.9 C、1.0 D、 1.1 4、下列哪项不属于作用的代表值()。 A、作用标准值 B、作用频遇值 C、作用永久值 D、作用准永久值 4、若实际工程中以边长200mm作为试件,则所测得的混凝土立方体抗压强度需要乘以()作为标准件的换算系数。 A、1.10 B、1.05 C、0.95 D、0.90 4、若实际工程中以边长100mm作为试件,则所测得的混凝土立方体抗压强度需要乘以()作为标准件的换算系数。 A、1.10 B、1.05 C、0.95 D、0.90 5、普通碳素钢中,含碳量越高,则钢筋的强度越[ ],其塑性和可焊性越[ ].()。 A、高、差 B、高、好 C、低、差 D、低、好 5、弯起钢筋主要承受()。 A、拉力 B、压力 C、弯矩 D、剪力 5、纵向钢筋主要承受梁的()。 A、拉力 B、压力 C、弯矩 D、剪力 6、下列说法中正确的是()。 A、第一类T形截面时中和轴在受压翼缘板内,受压区高度 f h x' > B、第一类T形截面时中和轴在受压翼缘板内,受压区高度 f h x' ≤ C、第二类T形截面时中和轴在梁腹板板内,受压区高度 f h x' ≤ D、第二类T形截面时中和轴在受压翼缘板内,受压区高度 f h x' > 6、构件的计算长度 l,当两端均为不移动的铰时取()。[注:l为构件支点间长度] A、0.5l B、0.7l C、l D、2l 6、I类环境下箍筋的最小混凝土保护层厚度为()。 A、20mm B、25mm C、30mm D、40mm 7、下列属于塑性破坏的是()。 A、无筋梁 B、少筋梁 C、超筋梁 D、适筋梁 7、C35混凝土等效矩形应力图高度系数β为()。 A、0.8 B、0.79 C、0.78 D、0.76 7、C55混凝土等效矩形应力图高度系数β为()。 A、0.8 B、0.79 C、0.78 D、0.76 8、钢材的含碳量越低,则()。 A、伸长率也越短,塑性越差 B、伸长率越大,塑性越好 C、强度越高,塑性越好 D、强度越低,塑性越差 8、钢筋混凝土轴心受压构件,稳定系数是考虑了()。

相关文档
相关文档 最新文档