文档库 最新最全的文档下载
当前位置:文档库 › 计算机组织与结构实验(5)_6100410179_孟红波

计算机组织与结构实验(5)_6100410179_孟红波

计算机组织与结构实验(5)_6100410179_孟红波
计算机组织与结构实验(5)_6100410179_孟红波

计算机组成与结构实验报告

----(5)数据运算实验(加/减/与/或)学生姓名:孟红波学号: 6100410179 专业班级:计算机(卓越)101班

实验要求:

执行“单微指令运行”功能,观察执行每条微指令时,寄存器的输入/输出状态,各控制信号的状态,PC及uPC如何工作。

实验目的:

了解数据运算的原理

实验说明:

在综合实验中,模型机作为一个整体来工作的,所有微程序的控制信号由微程序存储器uM输出,而不是由开关输出。在做综合实验之前,先用8芯电缆连接J1和J2,这样实验仪的监控系统会自动打开uM的输出允许,微程序的各控制信号就会接到各寄存器、运算器的控制端口。此综合实验使用的指令是模型机的缺省指令/微指令系统。等做完本综合实验,熟悉了此套指令/微指令后,用户可以自己设计的指令/微指令系统,有关自己设计指令/微指令系统的说明在下一章介绍。

在做综合实验时,可以用COP2000计算机组成原理实验软件输入、修改程序,汇编成机器码并下载到实验仪上,由软件控制程序实现单指令执行、单微指令执行、全速执行,并可以在软件上观察指令或微指令执行过程中数据的走向、各控制信号的状态、各寄存器的值。COP2000软件的使用方法见第七章“COP2000集成开发环境使用”。也可以用实验仪自带的小键盘和显示屏来输入、修改程序,用键盘控制单指令或单微指令执行,用LED或用显示屏观察各寄存器的值。实验仪上的键盘使用方法见第六章“实验仪键盘使用”。

在用微程序控制方式做综合实验时,在给实验仪通电前,拔掉实验仪上所有的手工连接的接线,再用8芯电缆连接J1和J2,控制方式开关拨到“微程序控制”方向。若想用COP2000软件控制组成原理实验仪,就要启动软件,并用快捷图标的“设置”功能打开设置窗口,选择实验仪连接的串行口,然后再按“连接COP2000实验仪”按钮接通到实验仪。

实验内容:

1.在COP2000软件中的源程序窗口输入下列程序Array

2.将程序另存为EX2.ASM,将程序汇编成机器码,反汇编窗口会显示出程序地址、机器

3.按快捷图标的F7,执行“单微指令运行”功能,观察执行每条微指令时,寄存器的输入/输出状态,各控制信号的状态,PC及uPC如何工作。(见“EX2.ASM程序跟踪结果”详细介绍)

4.在了解数据运算的原理,可以加上一些数据传输指令给累加器A或寄存器R?赋值,再运算,并观察运算结果。

实验结果:

实验分析:

实验重点在于了解数据运算的原理通过执行“单微指令运行”功能,观察执行每条微指令时,寄存器的输入/输出状态,各控制信号的状态,PC及uPC如何工作。使更生动形象

的知道了并将理解了数据运算的原理。

北邮高级计算机系统结构实验二三四五

实验二指令流水线相关性分析 ·实验目的 通过使用WINDLX模拟器,对程序中的三种相关现象进行观察,并对使用专用通路,增加运算部件等技术对性能的影响进行考察,加深对流水线和RISC处理器的特点的理解。 ·实验原理: 指令流水线中主要有结构相关、数据相关、控制相关。相关影响流水线性能。·实验步骤 一.使用WinDLX模拟器,对做如下分析: (1)观察程序中出现的数据/控制/结构相关。指出程序中出现上述现象的指令组合。 (2)考察增加浮点运算部件对性能的影响。 (3)考察增加forward部件对性能的影响。 (4)观察转移指令在转移成功和转移不成功时候的流水线开销。 ·实验过程 一.使用WinDLX模拟器,对做如下分析: } 浮点加、乘、除部件都设置为1,浮点数运算部件的延时都设置为4,如图1: 图1 初始设置 将和加载至WinDLX中,如图2示。

图2 加载程序 1.观察程序中出现的数据/控制/结构相关;指出程序中出现上述现象的指令组合。 1)数据相关 点击F7,使程序单步执行,当出现R-Stall时停止,运行过程中出现下图3所示,输入整数6。 图3 输入整数6 @ 打开Clock Diagram,可以清楚的看到指令执行的流水线如图4所示。 图4 指令流水线 双击第一次出现R-Stall的指令行,如图5所示。

图5 指令详细信息 对以上出现的情况分析如下: 程序发生了数据相关,R-Stall(R-暂停)表示引起暂停的原因是RAW。 lbu r3,0×0(r2) 要在WB周期写回r3中的数据;而下一条指令 & seqi r5,r3,0×a 要在intEX周期中读取r3中的数据。 上述过程发生了WR冲突,即写读相关。为了避免此类冲突, seq r5,r4,0×a的intEX指令延迟了一个周期进行。 由此,相关指令为: 2)控制相关 由图6可以看出,在第4时钟周期:第一条指令处于MEM段,第二条命令处于intEX段,第三条指令出于aborted状态,第四条命令处于IF段。 图 6 指令流水线 }

计算机组织与体系结构实验报告

《计算机组织与体系结构》 实验报告 学号: XXX 姓名:XXX 班级:XXX 指导教师:XXX 时间: 2013年01月 中国矿业大学计算机学院

目录 一基本运算器实验 (2) 1、实验目的 (2) 2、实验设备 (2) 3、实验原理 (2) 4、实验步骤 (3) 5、实验结果 (5) 5、实验体会 (5) 二微程序控制实验 (6) 1、实验目的 (6) 2、实验设备 (6) 3、实验原理 (6) 4、实验步骤 (12) 5、实验体会 (13) 三CPU与简单模型机设计实验 (13) 1、实验目的 (13) 2、实验设备 (13) 3、实验原理 (13) 4、实验步骤 (18) 5、实验流图 (21) 6、实验体会 (25)

实验一基本运算器实验 1. 实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 2. 实验设备 PC机一台,TD-CMA实验系统一套。 3.实验原理 本实验的原理如下图所示: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片FPGA中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

实验室组织机构图

实验室组织架构图

第1章质量方针目标与承诺 1.1质量方针 科学管理持续创新 关爱员工保护环境 诚信守约构筑精品 1.2目标与承诺 1.2.1试验检测工作坚持质量第一; 1.2.2当任务数量与检测质量矛盾时,首先确保检测质量; 1.2.3考核试验检测人员工作成绩时,首先考核其试验检测质量; 1.2.4试验检测无差错率达到98%,经审核发出的检测报告无差错率达到99%。

4.1.4实验室关键岗位的质量职责 4.1.4.1.实验室主任(由法定代表人担任) -----负责建立实验室管理体系,制定质量方针、质量目标,批准发布质量手册和程序文件; -----确定组织结构、岗位职责分工、权力委派; -----配备检测资源,保持和发展检测能力; -----批准或授权批准检测合同; -----建立实验室内部沟通机制,及时将客户和法定要求传达到所有员工; -----建立自我完善管理体系的机制,主持管理评审; -----承担检测活动中的民事法律责任; -----具有本实验室的最高决策权和否决权。 -----严格遵循CNAS-CL01:2006中规定的要求和责任。 4.1.4. 2 技术主管(或技术管理层) -----全面负责技术运作和确保运作质量所需资源; -----组织技术类程序文件的编写和宣贯,并维护其有效性; -----负责实验室技术能力的确认和开展新项目的审批; -----负责检测方法的选择和确认,组织制定、审批作业指导书; -----组织重大合同的评审和管理分包工作; -----组织技术性不符合工作的处理并实施相应的纠正或预防措施;-----制定员工技术培训计划,并组织实施 -----负责设施和环境的控制; -----负责仪器设备和外部服务供应的控制; -----负责测量溯源性的控制; -----组织编制和批准抽样计划; -----负责检测物品的控制; -----负责技术记录的规范与管理; -----落实检测质量的保证措施,组织评定测量不确定度、能力验证和比对;

计算机体系结构实验报告二

实验二结构相关 一、实验目得: 通过本实验,加深对结构相关得理解,了解结构相关对CPU性能得影响。 二、实验内容: 1、用WinDLX模拟器运行程序structure_d、s 。 2、通过模拟,找出存在结构相关得指令对以及导致结构相关得部件。 3、记录由结构相关引起得暂停时钟周期数,计算暂停时钟周期数占总执行 周期数得百分比。 4、论述结构相关对CPU性能得影响,讨论解决结构相关得方法。 三、实验程序structure_d、s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; < A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit < this is a ment !! A: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 四、实验过程 打开软件,load structure_d、s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(Rstall 数据相关Stall 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面得数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关得部件:译码部件。

计算机组成与系统结构

计算机组成与系统结构 1.冯·诺依曼计算机设计思想:依据存储程序,执行程序并实现控制。 2.早期计算机由运算器、控制器、存储器、输入设备和输出设备五大部分组成。 3.软件系统爆过两大部分:系统软件和应用软件。 4.计算机的层次结构分为:微程序或逻辑硬件、机器语言、操作系统、汇编语言、高级语言、应用语言。 5.计算机系统结构、组成与实现之间的区别与联系: ①计算机结构:也称为计算机体系结构,是一个系统在其所处环境中最高层次的概念;是对计算机系统中各机器级之间界面的划分和定义,以及对各级界面上、下的功能进行分配。 ②计算机组成:也常译为计算机组织或成为计算机原理、计算机组成原理。在计算机系统结构确定了分配给硬件子系统的功能及其概念之后,计算机组成的任务是研究硬件子系统各部分的内部结构和相互联系,以实现机器指令级的各级功能和特性。 ③计算机实现:指的是计算机组成的物理实现,主要研究个部件的物理结构,机器的制造技术和工艺等,包括处理机、主存等部件的物理结构,器件的集成度、速度和信号。器件、模块、插件、底板的划分与连接,专用器件的设计,电源、冷却、装配等技术。 6.Flynn分类法:按照计算机在执行程序时信息流的特征分为单指令单数据流计算机(SISD)、单指令多数据流计算机(SIMD)、多指令单数据流计算机(MISD)、多

指令多数据流计算机(MIMD). 7.加速比Sp=1/{(1-Fe)+Fe/Re},Fe为可改进比例,Re为部件加速比。 8.在计算机中有两种信息在流动,一种是控制流,即控制命令,由控制器产生并流向各个部件;另一种是数据流,它在计算机中被加工处理。 9.摩尔定律得以延续的理由:集成电路芯片的集成度每18个月翻一番。 10.冯·诺依曼计算机的执行过程:将要处理的问题用指令编程成程序,并将程序存放在存储器中,在控制器的控制下,从存储器中逐条取出指令并执行,通过执行程序最终解决计算机所要处理的问题。 11.数据编码的好处:用更少的数据表示更多的信息。 12.定点数:若约定小数点的位置固定不变,则成为定点数。定点数分为两种:定点整数(纯整数,小数点在最低有效数值位之后)和定点小数(纯小数,小数点最高有效数值位之前)。 13.浮点数:基数为2的数F的浮点表示为:F=M*2^E.其中M称为尾数,E称为阶码。尾数为带符号的纯小数,阶码为带符号的纯整数。 14.补码:非负数整数的补码为其原码,负数整数的补码在原码基础上取反加1. 15.n位补码表示的整数数值范围为-2^(n-1)~+(2^(n-1)-1),n位补码表示的小数数职的范围为-1~+(1-2^(-n+1))。 16.补码的特点: ①0的表示是唯一的。②变形码。③求补运算。 ④简化加减法。⑤算术或逻辑左移。⑥算术右移。 17.反码:正整数反码与原码相同。负整数反码即原码取反。 18.汉字编码分为三类:汉字输入编码、国际码和汉字内码以及汉字字模码。

计算机组成与系统结构书本答案

C1: 以下哪些设备不属于冯?诺伊曼体系结构计算机的五大部件?寄存器, 缓冲器 适配器的作用是保证_____用计算机系统特性所要求的形式发送或接收信息。正确答案是:I/O设备 1966年,Flynn从计算机体系结构的并行性能出发,按照_____的不同组织方式,把计算机系统的结构分为SISD、SIMD、MISD和MIMD四类。指令流, 数据流 具有相同_____的计算机,可以采用不同的_____ 。:计算机体系结构,计算机组成 冯?诺伊曼型计算机的设计思想是_____。正确答案是:存储程序并按地址顺序执行 1958年开始出现的第二代计算机,使用_____作为电子器件。晶体管 在计算机系统的层次结构中,_____采用符号语言。高级语言级, 汇编语言级 世界上第一台通用电子数字计算机ENIAC使用_____作为电子器件电子管 在计算机系统的层次结构中,属于硬件级的是_____。微程序设计级, 机器语言级 C2: 为了提高浮点数的表示精度,当尾数不为_____时,通过修改阶码并移动小数点,使尾数域的最高有效位为_____,这称为浮点数的规格化表示。0,1 在我国使用的计算机汉字操作平台中,_____字符集未收录繁体汉字。GB2312 在定点_____运算中,为了判断溢出是否发生,可采用双符号位检测法。不论溢出与否,其_____符号位始终指示正确的符号。小数,最高, 整数,最高 在定点二进制运算器中,减法运算一般通过_____来实现。补码运算的二进制加法器 在奇偶校验中,只有当数据中包含有_____个1时, 偶校验位=_____。偶数,0, 奇数,1 奇偶校验无法检测_____个错误,更无法识别错误信息的_____。偶数,位置, 偶数,内容在PC机中,若用扩展ASCII码、Unicode UCS-2和UCS-4方法表示一个字符,则三者之间的差异为:扩展ASCII码用_____位表示,Unicode UCS-2用_____位表示,Unicode UCS-4用_____位表示。8,16,32 C3: 相联存储器是以______来访问存储器的。关键字, 内容 Cache由高速的______组成。SRAM Cache存储器在产生替换时,可以采用以下替换算法:______。LFU算法, LRU算法, 随机替换 Cache的功能由______实现,因而对程序员是透明的。硬件 MOS半导体存储器中,______的外围电路简单,速度______,但其使用的器件多,集成度不高。SRAM,快 EPROM是指______。光擦可编程只读存储器 虚拟地址空间的大小实际上受到______容量的限制。辅助存储器 相联存储器是以______来访问存储器的。关键字, 内容 从CPU来看,增加Cache的目的,就是在性能上使______的平均读出时间尽可能接近Cache 的读出时间。主存, 内存 虚拟地址由______生成。编译程序 MOS半导体存储器中,______可大幅度提高集成度,但由于______操作,外围电路复杂,速度慢。DRAM,刷新 虚拟存储器可看作是一个容量非常大的______存储器,有了它,用户无需考虑所编程序在

计算机组成与系统结构常见选择题

一、选择题(50分,每题2分,正确答案可能不只一个,可单选或复选) 1.(CPU周期、机器周期)是内存读取一条指令字的最短时间。 2.(多线程、多核)技术体现了计算机并行处理中的空间并行。 3.(冯诺伊曼、存储程序)体系结构的计算机把程序及其操作数据一同存储在存储器里。 4.(计算机体系结构)是机器语言程序员所看到的传统机器级所具有的属性,其实质是确定计算机系统中软硬件的界面。 5.(控制器)的基本任务是按照程序所排的指令序列,从存储器取出指令操作码到控制器中,对指令操作码译码分析,执行指令操作。 6.(流水线)技术体现了计算机并行处理中的时间并行。 7.(数据流)是执行周期中从内存流向运算器的信息流。 8.(指令周期)是取出并执行一条指令的时间。 年开始出现的第二代计算机,使用(晶体管)作为电子器件。 年代中期开始出现的第三代计算机,使用(小规模集成电路、中规模集成电路)作为电子器件。 年代开始出现的第四代计算机,使用(大规模集成电路、超大规模集成电路)作为电子器件。 存储器在产生替换时,可以采用以下替换算法:(LFU算法、LRU算法、随机替换)。 的功能由(硬件)实现,因而对程序员是透明的。 是介于CPU和(主存、内存)之间的小容量存储器,能高速地向CPU提供指令和数据,从而加快程序的执行速度。 由高速的(SRAM)组成。 的基本功能包括(程序控制、操作控制、时间控制、数据加工)。的控制方式通常分为:(同步控制方式、异步控制方式、联合控制方式)反映了时序信号的定时方式。 的联合控制方式的设计思想是:(在功能部件内部采用同步控制方式、在功能部件之间采用异步控制方式、在硬件实现允许的情况下,尽可能多地采用异步控制方式)。 的同步控制方式有时又称为(固定时序控制方式、无应答控制方式)。 的异步控制方式有时又称为(可变时序控制方式、应答控制方式)。

生物安全组织管理及其组织图

生物安全组织管理及其组织图 1 目的 建立病原微生物实验室生物安全体系,使之有效运行,明确相关部门及病原微生物实验室人员职责,落实实验室生物安全管理责任制,做到权责统一。 2 适用范围 适用于与病原微生物实验活动相关的科室和人员。 3 组织机构 生物安全管理实行中心主任责任制。 中心主任组织并授权生物安全领导小组负责生物安全工作,同时委派一名中心副主任具体主管;成立生物安全领导小组;相关科室的主任应对所辖科室的生物安全工作承担相应的领导责任。科负责人或项目负责人负责科室或具体项目的运行管理;各级人员应认真阅读、理解本手册,并在相关实验活动中严格遵守,在发生生物安全隐患、意外事件或事故时应及时报告。办公室、质管办等管理部门对责任范围内的生物安全工作进行监督检查;其他相关职能部门对生物安全体系的

运行提供所需保障。实验室设置专职或兼职安全监督员。 生物安全管理体系结构框见图 4 各部门或人员职责 4.1 主任委员 ①对生物安全负总责; ②负责建立生物安全防护实验室管理体系; ③组织并授权生物安全领导小组负责实验室生物安全工作; ④批准和发布生物安全手册。 4.2 生物安全领导小组 ①负责中心生物安全管理工作; ②督导生物安全制度的执行和措施的落实; ③组织重大实验室生物安全事故的认定、危害评估和处置方案的制定; ④负责领导安全防护实验室的建设、管理、使用、维护; ⑤协调与实验室生物安全相关科室部门的工作。 ⑥负责制定生物安全管理工作规范、操作技术指南及规范性技术文件并定期进行评价和更新;

⑦提供生物安全相关技术和政策咨询; ⑧批准和发布生物安全相关的技术文件。 4.3 检验科负责人 ①负责实验室的日常管理、实验技术和生物安全工作; ②组织生物安全防护知识和有关法规、制度、规程的宣贯; ③组织相关人员按要求进行培训、考核、体检和预防接种; ④决定进入实验室的工作人员; ⑤监督有关法规和操作规程的执行,纠正出现的违规活动并有权停止实验; ⑥定期组织对实验室设备各项技术参数的检查和实验室装备的维护保养; ⑦负责实验室紧急情况及事故的处理并向生物安全领导小组报告; 4.4 实验室技术人员及实验活动辅助人员 ①实验室技术人员必须严格遵守实验室生物安全管理制度,按规定接受培训、考核、体检和预防接种; ②按要求执行标准操作规程和仪器设备操作规程,做好实验记录;

计算机组成与系统结构课后答案版全(清华大学袁春风主编)

第 1 章习题答案 5.若有两个基准测试程序P1和P2在机器M1和M2上运行,假定M1和M2的价格分别是5000元和8000 请回答下列问题: (1)对于P1,哪台机器的速度快?快多少?对于P2呢? (2)在M1上执行P1和P2的速度分别是多少MIPS?在M2上的执行速度又各是多少?从执行速度来看,对于P2,哪台机器的速度快?快多少? (3)假定M1和M2的时钟频率各是800MHz和1.2GHz,则在M1和M2上执行P1时的平均时钟周期数CPI各是多少? (4)如果某个用户需要大量使用程序P1,并且该用户主要关心系统的响应时间而不是吞吐率,那么,该用户需要大批购进机器时,应该选择M1还是M2?为什么?(提示:从性价比上考虑)(5)如果另一个用户也需要购进大批机器,但该用户使用P1和P2一样多,主要关心的也是响应时间,那么,应该选择M1还是M2?为什么? 参考答案: (1)对于P1,M2比M1快一倍;对于P2,M1比M2快一倍。 (2)对于M1,P1的速度为:200M/10=20MIPS;P2为300k/0.003=100MIPS。 对于M2,P1的速度为:150M/5=30MIPS;P2为420k/0.006=70MIPS。 从执行速度来看,对于P2,因为100/70=1.43倍,所以M1比M2快0.43倍。 (3)在M1上执行P1时的平均时钟周期数CPI为:10×800M/(200×106)=40。 在M2上执行P1时的平均时钟周期数CPI为:5×1.2G/(150×106)=40。 (4)考虑运行P1时M1和M2的性价比,因为该用户主要关心系统的响应时间,所以性价比中的性能应考虑执行时间,其性能为执行时间的倒数。故性价比R为: R=1/(执行时间×价格) R越大说明性价比越高,也即,“执行时间×价格”的值越小,则性价比越高。 因为10×5000 > 5×8000,所以,M2的性价比高。应选择M2。 (5)P1和P2需要同等考虑,性能有多种方式:执行时间总和、算术平均、几何平均。 若用算术平均方式,则:因为(10+0.003)/2×5000 > (5+0.006)/2×8000,所以M2的性价比高,应选择M2。 若用几何平均方式,则:因为sqrt(10×0.003) ×5000 < sqrt(5×0.006) ×8000, 所以M1的性价比高,应选择M1。 6.若机器M1和M2具有相同的指令集,其时钟频率分别为1GHz和1.5GHz。在指令集中有五种不同类型的指令A~E。下表给出了在M1和M2上每类指令的平均时钟周期数CPI。

北邮计算机系统结构实验报告-实验一到五-WINDLX模拟器

北京邮电大学 实验报告 课程名称计算机系统结构 计算机学院03班 王陈(11)

目录 实验一WINDLX模拟器安装及使用......................................... 错误!未定义书签。 ·实验准备................................................................................ 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验内容及要求.................................................................... 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验二指令流水线相关性分析 ............................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验三DLX处理器程序设计 .................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 A.向量加法代码及性能分析 ................................................... 错误!未定义书签。 B.双精度浮点加法求和代码及结果分析 .............................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验四代码优化 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结+实习体会........................................................... 错误!未定义书签。实验五循环展开 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 矩阵乘程序代码清单及注释说明........................................... 错误!未定义书签。 相关性分析结果........................................................................... 错误!未定义书签。 增加浮点运算部件对性能的影响........................................... 错误!未定义书签。 增加forward部件对性能的影响 ............................................ 错误!未定义书签。 转移指令在转移成功和转移不成功时候的流水线开销 .. 错误!未定义书签。 ·实验总结+实习体会+课程建议......................................... 错误!未定义书签。

计算机系统结构实验报告

计算机系统结构实验报告 一.流水线中的相关 实验目的: 1. 熟练掌握WinDLX模拟器的操作和使用,熟悉DLX指令集结构及其特点; 2. 加深对计算机流水线基本概念的理解; 3. 进一步了解DLX基本流水线各段的功能以及基本操作; 4. 加深对数据相关、结构相关的理解,了解这两类相关对CPU性能的影响; 5. 了解解决数据相关的方法,掌握如何使用定向技术来减少数据相关带来的暂停。 实验平台: WinDLX模拟器 实验内容和步骤: 1.用WinDLX模拟器执行下列三个程序: 求阶乘程序fact.s 求最大公倍数程序gcm.s 求素数程序prim.s 分别以步进、连续、设置断点的方式运行程序,观察程序在流水线中的执行情况,观察 CPU中寄存器和存储器的内容。熟练掌握WinDLX的操作和使用。 2. 用WinDLX运行程序structure_d.s,通过模拟找出存在资源相关的指令对以及导致资源相 关的部件;记录由资源相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行周期数的 百分比;论述资源相关对CPU性能的影响,讨论解决资源相关的方法。 3. 在不采用定向技术的情况下(去掉Configuration菜单中Enable Forwarding选项前的勾选符),用WinDLX运行程序data_d.s。记录数据相关引起的暂停时钟周期数以及程序执行的 总时钟周期数,计算暂停时钟周期数占总执行周期数的百分比。 在采用定向技术的情况下(勾选Enable Forwarding),用WinDLX再次运行程序data_d.s。重复上述3中的工作,并计算采用定向技术后性能提高的倍数。 1. 求阶乘程序 用WinDLX模拟器执行求阶乘程序fact.s。这个程序说明浮点指令的使用。该程序从标准 输入读入一个整数,求其阶乘,然后将结果输出。 该程序中调用了input.s中的输入子程序,这个子程序用于读入正整数。 实验结果: 在载入fact.s和input.s之后,不设置任何断点运行。 a.不采用重新定向技术,我们得到的结果

计算机组织与系统结构 (A-1 卷)

考试科目名称 计算机组织与系统结构 (A-1卷)2007——2008学年第 2 学期 教师 袁春风/窦万春考试方式:闭卷系(专业) 计算机科学与技术年级 2006班级 学号 姓名 成绩 题号一二三四五六 分数 一、填空题(本大题共15小题,每空1分,共20分) 得分 1. 二进制指令代码的符号化表示被称为汇编语言源程序。必须通过相应的翻译程序把它转 换为机器语言程序才能被计算机执行。 2. 通常用一个寄存器来存放当前执行指令的地址,MIPS结构中将该寄存器简写为PC。由于历史的原 因,PC寄存器通常被称为程序计数器(或Program Counter)。 3. 在MIPS中,分支指令的转移目标地址是由分支指令的下条指令地址加上一个位移量决定的。通常 把这种方式称为(PC)相对寻址方式。 4. 假定寄存器$s1中存放二进制信息为0000 0000 0000 0000 0000 0000 1101 1000,则在屏幕上用 16进制显示为0x 0000 00D8。若该信息是一个无符号整数,则表示的值为 216。 5. 过程调用时,涉及到调用过程和被调用过程之间的数据交换和程序切换,所以要有相应的存储空间 来存放调用参数、返回数据和返回地址等信息。这种用于过程调用的存储空间被称为堆栈Stack (或栈帧Stack Frame)。 6. 衡量CPU性能好坏的一个重要指标是CPU执行时间。它与程序包含的指令条数和每条指令的平均 时钟数以及时钟周期有关。通常用英文缩写CPI 来表示每条指令的平均时钟数。有时也用基准程序来测试处理器的性能,“基准程序”对应的英文单词是Benchmark。 7. 进行基本加/减等算术运算和与/或/非等逻辑运算的部件被称为算术逻辑部件。用英文缩写表示为 ALU。 8. 由于Cache数据是主存数据的副本,所以Cache和主存之间存在一致性问题,可以采用两种不同的 写策略来解决。这两种写策略是Write Back(或写回法/一次性写)和Write Through(或写通过法)。 9. 在流水线中,如果多条指令同时需要用到同一个功能部件,就发生了流水线冒险,会引起流水线的 阻塞。通常把这种流水线冒险称为结构(或资源冲突)冒险。

《_计算机组成与系统结构》考试试卷

学试卷 院(系、部) 专业 班级 姓名 学号 …… .… … … … … …… … … … … .密… … … … … … … … … …… … … … … 封 … … … … …… . . …… … … … ……. . 线… … … … … … … … … … … … … … . . 计算机组成与系统结构考试试卷 一. 填空题 (填空每空1分,共10分;选择填空每空2分,共20分) 1.计算机系统中的存贮器系统是指___D ___。 A RAM 存贮器 B ROM 存贮器 C 主存贮器 D cache 、主存贮器和外存贮器 2.某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小数为___B ___。 A +(1 – 2-32) B +(1 – 2-31) C 2-32 D 2-31 3.算术 / 逻辑运算单元74181ALU 可完成___C ___。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4.存储单元是指___B ___。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5.相联存贮器是按___C ___进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 6.变址寻址方式中,操作数的有效地址等于___C ___。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7.以下叙述中正确描述的句子是:___D ___。 A 同一个CPU 周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU 周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU 周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU 周期中,不可以并行执行的微操作叫相斥性微操作 8.计算机使用总线结构的主要优点是便于实现积木化,同时___C ___。 A 减少了信息传输量 B 提高了信息传输的速度 C 减少了信息传输线的条数

计算机体系结构实验报告二

实验二结构相关 一、实验目的: 通过本实验,加深对结构相关的理解,了解结构相关对CPU性能的影响。 二、实验内容: 1. 用WinDLX模拟器运行程序structure_d.s 。 2. 通过模拟,找出存在结构相关的指令对以及导致结构相关的部件。 3. 记录由结构相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行 周期数的百分比。 4. 论述结构相关对CPU性能的影响,讨论解决结构相关的方法。 三、实验程序structure_d.s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; <- A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit <- this is a comment !! A: .double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: .double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10

四、实验过程 打开软件,load structure_d.s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(R-stall 数据相关Stall- 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面的数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关的部件:译码部件。 2)第二个结构相关:ADDI R2, R2, #8,与第一个结构相关类似。由于数据相关, 上一条指令暂停在ID阶段,所以导致下一条指令发生结构相关。

计算机组成与系统结构

1:__计算机体系结构__是机器语言程序员所看到的传统机器级所具有的属性,其实质是确定计算机系统中软硬件的界面。 2:虚拟存储器可看作是一个容量非常大的___逻辑___存储器,有了它,用户无需考虑所编程序在__主存__中是否放得下或放在什么位置等问题。 3:指令的跳跃寻址方式,是指下一条指令的地址由本条指令直接给出,因此,_程序计数器_的内容必须相应改变,以便及时跟踪新的指令地址。 4:指令格式中的地址码字段,通常用来指定参与操作的___操作数___或其地址。 5:在定点__小数、整数___运算中,为了判断溢出是否发生,可采用双符号位检测法。不论溢出与否,其__最高__符号位始终指示正确的符号。 6:1966年,M.J.Flynn从计算机体系结构的并行性能出发,按照__指令流, 数据流__的不同组织方式,把计算机系统的结构分为SISD、SIMD、MISD和MIMD四类。 7:具有相同___计算机体系结构__的计算机,可以采用不同的__计算机组成___。 8:MOS半导体存储器中,__SRAM _的外围电路简单,速度___快___,但其使用的器件多,集成度不高。 9:Cache的功能由___硬件___实现,因而对程序员是透明的。 10:冯?诺伊曼型计算机的设计思想是__存储程序并按地址顺序执行___。 11:到目前为止,使用最为广泛的计算机形态是__嵌入式计算机___。 12:从CPU来看,增加Cache的目的,就是在性能上使__主存, 内存__的平均读出时间尽可能接近Cache的读出时间。 13:在主存与Cache间建立地址映射,有几种不同的地址映射方式,它们是_全相联映射方式, 直接映射方式, 组相联映射方式_。 14:部分在计算机系统的层次结构中,属于硬件级的是__微程序设计级, 机器语言级_。 15:在计算机系统的层次结构中,属于软件级的是_高级语言级, 汇编语言级_。 16:在计算机系统的层次结构中,___微程序设计级, 操作系统级, 机器语言级__采用二进制数语言。 17:一种__计算机组成___可以采用多种不同的__计算机实现___。 18:世界上第一台通用电子数字计算机ENIAC使用_电子管_作为电子器件。 19:MOS半导体存储器中,__ DRAM __可大幅度提高集成度,但由于__刷新__操作,外围电路复杂,速度慢。 20:指令格式就是___指令字__用二进制代码表示的结构形式。 1:当CPU和主存进行信息交换,即CPU___向主存存入数据, 从主存读出数据, 从主存读出指令___时,都要使用地址寄存器和数据寄存器。 2:取出和执行任何一条指令所需的最短时间为_2_个CPU周期。 3:1997年,Intel在其Pentium MMX CPU中集成了MMX技术,使用了8个___64___位宽的MMX寄存器。 4:当代总线是一些标准总线,追求与___技术, 结构, CPU, 厂家___无关的开发标准。 5:在CPU中,运算器通常由___算术逻辑单元, 累加寄存器, 数据寄存器, 状态条件寄存器___组成。 6:在对流水CPU基本概念的描述中,正确的是__流水CPU是一种非常经济而实用的时间并行技术____。 7:相对于硬连线控制器,微程序控制器的优点在于__结构比较规整, 复杂性和非标准化程度较低, 增加或修改指令较为容易____。 8:在CPU中,数据寄存器用来暂时存放__由主存读出的一条指令, 由主存读出的一个数据字, 向主存存入的一条指令, 向主存存入的一个数据字____。 9:以下句子中,正确的是__各条指令的取指阶段所用的CPU周期是完全相同的。由于各条指令的功能不同,指令的执行阶段所用的CPU周期是各不相同的____。 10:在CPU中,程序计数器用来保存___下一条指令的地址___。 11:在对RISC机器基本概念的描述中,正确的是___ RISC机器一定是流水CPU ___。 12:在一个计算机系统中,算术流水线是指运算操作步骤的并行,是__部件___级流水线。 13:流水CPU通常由_指令部件, 指令队列, 执行部件_等几个部分组成,这几个功能部件可以组成一个多级流水线。14:奔腾CPU的大多数简单指令用硬连线控制实现,在1个时钟周期内执行完毕。而对于用微程序实现的指令,也在__2, 3__个时钟周期内执行完毕。 15:第一台RISC计算机于___1981__年在美国加州大学伯克利分校问世。

相关文档
相关文档 最新文档