文档库 最新最全的文档下载
当前位置:文档库 › 尼康nikon Capture NX2大师心得精讲教程

尼康nikon Capture NX2大师心得精讲教程

尼康nikon Capture NX2大师心得精讲教程
尼康nikon Capture NX2大师心得精讲教程

NX一经问世即获殊荣,赢得2006-2007年度欧洲影像革新奖。那么,它的革新在哪里呢?EISA是这样说的:“Nik软件公司的U点技术包括在尼康最新的图像软件Capture NX中,提供摄影师特别的工具对色彩、色调、亮度、对比度进行控制。无需选择任何区域或使用蒙板,很容易根据摄影师的要求调节个别区域色彩。这项强大的功能可以用于JPEG、TIFF或NEF (尼康电子格式)图像文件。允许仅调整版式而不改变原始文件。最终结果可以输出为NEF 格式文件。”这段话简明、扼要的说明了NX软件的主要优点,而这些优点也是我们需要掌握的重点,有必要先行梳理。

1、U点技术

U点率先在图像处理软件中应用了面向对象的技术,每一个U点都是一个对象,只要在图像上用鼠标在合适的位置点一下,就立刻建立了一个对象,比如天空或者云彩,就可以对其色彩、色调、亮度、对比度进行控制。而NX以前的图像处理软件基本上都是面向过程的。以使用广泛且功能强大的PS为例,如果要对天空做处理,首先需要将天空作为一个选区从图像上分离出来,而要做到这一点需要做一系列的操作或者说需要一个过程,比如,先建立一个图层,然后通过各种选取工具如套索、魔棒、颜色选择等选出区域,羽化、建立蒙版等等,只有在图层上的选区确定以后,才能对其色彩、色调、亮度、对比度等进行控制。

有同学认为U点与PS中的色彩范围选择类似,其实不然,引用NX帮助里的话:“彩色控制点根据大量条件识别对象。在放置第一个彩色控制点时,U 点技术便识别出放置控制点的对象的特有元素,包括位置、颜色、饱和度和纹理。通过识别这些元素,彩色控制点可确定对象的边界,这样便只对该对象和具有相似元素的对象产生影响。通过特有的混合功能对整幅图像应用彩色控制点效果,从而得到自然和谐的无缝效果”。PS的色彩范围选择是依靠颜色来确定分区的,不是靠对象的特有元素来确定分区的范围,其选择的分区那怕是在人工干预下也很难得到理想的效果。

下图为PS色彩范围选择示例:

使用PS-〉选择-〉色彩范围对天空进行选择并调整颜色容差,得到的选区为虚线所围绕的范围。

上图为在NX中用了一个彩色控制点(以下简称CP)来选择天空后该CP的作用范围。

通过U点确定的对象会相互作用和影响,也就是说它们之间有通讯机制,可以协同以确定彼此的边界,不需要人工干预,对象与对象之间可以做到自然和谐的无缝过渡。

上图显示在天空的另一边加上第二个CP后两个CP协同所选择的范围。从图上可以看出,两个CP自动地确定了彼此的边界并实现了对天空的选择,甚至包括了一部分天空在水面上的反射光。而这一切都是自动实现的,用户只需要用鼠标点击两下。

上图是在NX中关闭“显示选区”后的图象。

U点不仅确定了操作的对象,而且可以对对象的诸多属性如:大小、色相、饱和度、亮度、对比度、RGB和暖色等进行调整和控制,使用极其方便,也非常容易学习和掌握。

2、直接对RAW格式文件作局部处理

U点技术的应用使得NX成为第一个具有局部处理能力的RAW格式处理软件,这一变革具有深远意义。由于RAW文件包含的信息最多,因此可供调整和加工的余量是最大的,不仅使得可调整的范围加大,而且更容易保证影像质量。同时,也减少了在不同格式之间来回转换的次数和时间,提高了效率。

在NX中不但具有U点这样的新工具,也包括了一些传统的选区工具,如画笔工具、套索与选框工具、渐变工具、填充工具等等。这些工具与U点技术结合使得在NX对局部区域的选取和控制能力大大增加。因此使得一些原来需要到PS这样的图像处理软件中才能完成的工作可以直接在NX中完成,并且坚持面向对象的概念,选择区域以及进行处理的时候都不需要引入图层和蒙版,比PS做相应的处理显得更直观和方便。

正如picky2000兄所说,NX很可能代表了未来raw格式处理软件发展的方向,将会加入和集

成越来越多的图像编辑和加工的功能。

当然,术业有专攻,NX和PS必竟是不同的软件,而且PS能够做的事绝不仅限于处理照片,作为一款得到广泛应用和长时间实践考验的软件在很多方面是不可替代的,NX虽然在许多方面比PS方便、直观,但既不可能也没有必要取代PS,各有各的用处。实际上,在对NX 的操作比较熟悉后,一些在PS中使用的技法和技巧也可以应用到NX里,而一些不容易在NX里处理的步骤,比如多张照片叠加或拼接可以放到PS或其它专业软件中去处理,各尽其用,各取所需。因此,NX与PS或者其它一些相关的软件并不是一种替代或者竞争关系,而是相互协同、取长补短的关系。

3、编辑列表、版本及批处理

NX作为一个raw格式处理软件如同其它的raw格式处理软件一样,“允许仅调整版式而不改变原始文件”,不过这只能应用于尼康的nef文件。虽然NX也可以处理jpeg和tiff格式的文件,但要保留编辑步骤和有关信息必须存为nef文件才行。

NX通过编辑列表来保存编辑步骤,每一个编辑步骤中又可以有多个操作。在对图像进行操作的时候,你做的每一项调整都会自动地在编辑列表里增加相应的编辑步骤或操作,这些步骤和操作并不改变原始的NEF文件,而是在NEF文件上作一系列调整和处理,而编辑列表的主要功能之一就是记录和保存对图像所实施的这一系列调整和处理,这样使得在NX中对图像所作的任何调整或修改都是可逆的,不用担心一失足成千古恨,编辑列表就是后悔药。从这个意义上看编辑步骤与PS图层类似,在PS中为了不影响原始图像,往往会根据需要复制或建立不同的图层,调整和操作都在图层上进行,从而保护了原始图像。如果存盘的时候保留图层,下一次调入图像时原始图像并不会受到破坏。

编辑列表也是NX的中心,要掌握NX必须对编辑列表有深入了解,实际上NX的编辑列表是一项很重要的发展,它还可以起到原来在NC中浮动窗口的作用,便于用户调整,而且可以记录、改变、复制、删除编辑步骤,具有很高的灵活性。在这一点上,比PS还要强一些,PS的历史记录无法保存,只能保存图层和动作脚本而且比较麻烦,同时,如果对原始图像本身做了修改后是不可逆的。而NX并不对RAW文件直接修改,而是记录用户的一系列编辑步骤,在用NX打开一个以前用NX编辑过的NEF图像时可以看到过去的编辑步骤,可以对其进行修改和重新调整。

如果希望保留与以前不同的编辑步骤又不希望覆盖原来的,还可以选择不同的版本来保存,这大大增加了灵活性和方便性,对一张片子可以进行多种不同的调整而且保存在同一个文件里,与之前改一次要存一个不同的文件相比在操作和管理上带来更多的方便。

NX的批处理功能比NC有了较大的增强,其设置也就是编辑列表里的步骤以及每个步骤中的相应操作。当从批处理菜单或编辑列表下面的批处理(状似齿轮的按钮)中选择“保存设置…”将允许你从编辑列表所记载的调整和操作中选择需要保留的设置,可应用于其它片子或过后再次应用。因此,大大提高了批处理的灵活性,对处理大批片子非常有用。

上述三点个人认为是NX与PS和NC不同的地方,也是NX的亮点。我们会在使用NX的过程中体会到这一点。毕竟,NX只是一个用于处理照片的软件工具,无论其有何优点或特色,必需要在实际应用中能发挥作用才有意义,因此,下面将从实际使用的角度出发,对NX的

功能、特点和使用方法按个人的理解作一些介绍。

基础知识和基本操作

NX的界面和使用不复杂,相对如PS这样的功能很强大的软件而言也比较容易学习和上手,一般通过帮助文件、尼康网站提供的一些实例即可使用并能取得良好的效果。这一方面是因为NX的功能和操作没有也不需要如同PS那样强大和复杂,另一方面是因为NX不仅在软件开发中采用了面向对象的思想,而且在用户界面和操作中也体现了这一思想。由于面向对象的方式与人们处理日常事务的习惯和方式比较一致,所以不需要记忆许多繁杂的命令、操作、快捷键、参数和处理过程,通过编辑列表在菜单和工具栏的帮助下可以很容易地知道下一步该怎么办,也容易记住需要的操作过程。

在NX中你可以利用U点、画笔、选框和套索、渐变、填充等工具选择、确定需要调整和处理的对象后,直接应用U点自带的有关调整方法或调整和滤镜菜单中的调整方法对选定的对象进行调整和处理,对不同的对象采用不同的调整和处理方法可以通过建立不同的U点(除黑、白U点是对整个图像起作用外,其它U点自带的调整方法只对自己的作用范围起作用)或在编辑列表中增加增强步骤来实现,无须建立图层和蒙版。

确实,PS的图层是其主要特色并且其作用和意义在实践中得到验证,是一个好东东。但是否是不可替代和超越的呢?我不知道其他人如何,我自己在学习和使用PS时对在什么时候、什么情况下使用图层和蒙版以及如何使用图层和蒙版一直是一个非常头痛的问题,看过十多本PS的书,其中不乏名著,也看过不计其数的发表在包括无忌在内的各种媒体上的操作实例,花了大量的时间按照这些实例在例图上一步一步去做,不能说没有效果,当时也确实会用了,但由于不是专业人士,不可能天天使用PS,并且记忆力也日渐衰退,几个月以后再用又是一片茫然,以至于每一次都要去翻书或去查询实例后才能着手工作。所以当发现NX 不需要引入图层和蒙版后不禁欢欣鼓舞,大力鼓吹之。

读者诸君中如果有PS高手,也许对此不屑一顾,如果对您而言掌握图层和蒙版不过是小儿科的事,那么也就不必费心往下看了,因为NX对您意义不大,NX能做的事PS都能做到,没有必要再花时间和精力来学习和掌握NX,而且受PS的思维所限,也不容易利用NX来做一些以前在PS中才能做的事。

如果读者如同笔者一样,为PS众多的功能、复杂的操作以及不那么直观的结果所苦恼,需要一种简单而直观的工具来对照片进行处理和调整,以达到自己的意图,那么,NX对您就可能是一个合适的工具。当您掌握它以后就会发现其操作是如此简单和直观,不需要记忆复杂的操作过程和命令,在经过一段实践之后很容易建立工作流程,一切都可以在掌控之中,照片的后期处理不再是一种不可捉摸、不可预料的事而成了一种乐趣,将会极大地激发创作的热情,不仅在NX的使用上,而且可以延伸到拍摄的过程中,甚至在您按下快门以前,就可以在脑海里想象拍下的片子经过NX处理后将会是什么样的!如果说根据自己的创作意图,选择合适的拍摄对象,对场景的各个部位测光以确定光比,再结合后期处理的因素,如对胶片的密度如何进行控制,采用多大反差的像纸,在放大的时候遮挡或加强哪个部位等等,在脑海中想象出将曝光值(光圈和速度)置于某一区域时冲印出来的照片是什么样的是亚当斯区域曝光法的精髓所在的话,那么,NX确实是一种能够帮助我们在数码时代应用区域曝光法思想的理想工具。

由于NX的界面直观明了,其调整和滤镜菜单以及除U点外的工具都是我们所熟知的,U点本身也不难理解和掌握,而且可以通过实例做进一步的说明和介绍,有关的资料和信息也很容易在帮助和有关文档中找到,无须多说。而编辑列表是一个新的概念,NC中没有,PS虽然有相近的功能,但存在一定的差异,因此,有必要对其先做一个介绍。

编辑列表是NX的中心,能够很好的理解和掌握编辑列表的各项功能和作用就等于已经基本会使用NX了。

只要一打开文件,编辑列表里就会出现第一个编辑步骤——基本调整。取决于打开的文件格式,如果是NEF文件格式,在1、基本调整之下有:相机调整、RAW调整、光度及色彩调整、细节调整、镜头调整等多项调整操作;如果是JPEG或TIFF格式,在1、基本格式之下将不会出现相机调整和RAW调整两项,只有光度及色彩调整、细节调整和镜头调整等。这是因为相机调整里的色彩模式、白平衡、色调补偿、饱和度、锐化等设置也就是Nikon相机里对应的各项设置,你可以直接沿用相机里的设置值,也可以在这里对其修改以达到自己的表现意图。一些抱怨相机自动白平衡不准的同学完全可以在这里重新设定片子的白平衡,不满意还可以随时修改,这些设定只能应用于NEF格式。RAW调整里的曝光补偿、色相调整、减少颜色波纹、除尘、自动色彩校正等项目也只对RAW格式的NEF文件发生作用。

实际上,基本调整里的各项调整和设定就是NC的原有功能,会用NC的同学都会很熟悉,川流兄在他的贴子里对NC的工作流程介绍得很清楚,其中也介绍了NX的这一部分,并且详细讲解了有关调整的原理和基础知识,请参看:

Nikon Capture实用工作流程。

个人觉得与NC相比,NX将原NC的功能作为第一个编辑步骤,同时也是必不可少的基本步骤放到编辑列表里是一个重大改进。在NC里上述调整是通过浮动窗口或菜单项来操作的,并无先后顺序。而在实际操作中一般是按流程进行处理,如果是不知道流程的初学者来使用NC,往往会不知道如何下手。在这方面PS的ACR要好一些,提供的界面可以让用户知道有一个操作的先后顺序。川流兄在其教程中专门指出了这一点并参照ACR给出了基本的工作流程。在NX中取消了NC的浮动窗口,采用在编辑列表中将基本调整按一定的顺序排列,自然地形成了工作流程。虽然操作上会比NC直接在桌面上的浮动窗口要多点几下鼠标,但这种方式带来的好处绝对超过这小小的不便,更何况其中的部分设置还可以通过点击左边相机设置弹出的浮动窗口来操作。见下图:

在基本调整中所作的任何操作都是对整个图像的,不能做任何局部调整或修改,也不许允许在其中作任何选区。基本调整相当于PS的背景图层,是增强步骤所做的任何调整的基础。一般情况下要首先调整好以后,再增加增强步骤,否则在做完后面的调整后再回头做基本调整的话,会使得后面所做的一些调整前功尽弃。

当用调整菜单、控制点、滤镜菜单或工具栏的有关工具对图像进行调整时,都会在编辑列表中自动增加相应的增强步骤。U点或调整与滤镜的增强步骤包括三个部分:

1、选取通知区域。此区域将显示当前步骤是否按照所有选定的信息指示,应用于整幅图像;还是按照某一其它选区信息指示,仅应用于所选的图像区域。若显示信息部分选定的,则已通过某一选择性工具应用了当前步骤。如果显示信息无选定的,当前步骤则不影响图像。从查看菜单选择“显示选区”选项,便可随时查看当前步骤应用于图像的哪个区域。

图像上划定一个选区后,即出现“羽化功能”选项。使用此功能可让由选区工具划定的选区边缘柔和起来。选区边缘柔和后可减少选择过程所导致的可见痕迹。

2、增强步骤的功能和操作。可以是U点,也可以是调整与滤镜下拉菜单。

3、不透明度混合器。使用“不透明度混合器”可使当前增强功能或相同类型的所有控制点与剩余图像效果进行混合。从调整、控制点或滤镜菜单添加任何功能后,不透明度混合器选项便可使用。单击要混合的各功能正下方的“不透明度混合器”项旁的按钮,随即显示“不透明度混合器”对话框。在“不透明度混合器”对话框中可调整当前增强处理对不透明度和通道的影响,还能以各种方式将增强步骤与以前步骤的效果相混合。

此外,每个步骤都有一个应用步骤复选框,应用步骤复选框可打开或关闭特定步骤,由此关闭该步骤的所有影响。此复选框在某一步骤首次创建时便自动选中。要关闭某个特定步骤,取消选中应用复选框即可。在增强功步骤下的每一个U点或增强功能右边都有一个应用增强功能复选框,应用增强步骤复选框可打开或关闭当前步骤中增强功能的影响。增强功能在步骤中应用后,此复选框即自动选中。要关闭某个特定增强功能,取消选中应用增强功能复选框即可。

增强步骤还可以链接或交换增强功能,具体说明可看帮助文件,我也会在下面的实例中介绍其具体用法。

对照PS,我以为编辑列表相当于PS的图层和历史纪录这两个窗口的功能,当在NX的编辑列表里增加一个编辑步骤相当于在PS中增加一个或多个图层和一项或多项历史纪录。实际上上编辑步骤与PS的图层的作用在很大程度上是相同的。明白了这一点,就可以将一些在PS中行之有效的操作技法应用到NX中来,再结合NX的固有特点,将会大大提高工作效率。下面将介绍一些操作实例。

实例之一,解放区的天是晴朗的天

U点技术是NX的亮点,很容易掌握和使用,又具有强大的功能,可以轻而易举用U点来选取对象并进行调整,特别是可以方便地改变对象的颜色,很容易引起初学者的兴奋。在天空部分加一、两个控制点,即可选取整个天空,再从颜色选择器里挑选出合适的颜色,甚至可以打开色板,直接从记忆色中选取天空的蔚蓝色。多加一些CP既可以保护对象不受其它对象调整的影响,又可以单独调整增强,只需要点几下鼠标选择对象和颜色,几分钟的时间就可以使得片子立刻靓丽起来。特别是N家的DSLR直接出的片子比较寡淡,经常被人讥为阴天一族。用NX的U点技术后,阴云密布可以立马变成艳阳高照,使得N家粉丝大出了一口恶气。所以不管三七二十一,先变天再说,以至于坛子里大部分经NX处理后的片子,天空就如同解放区的天一样,都是晴朗的天,一水的蓝色,充分反映了N家粉丝翻身得解放的喜悦心情。

确实,U点能改变天的颜色,也能使得片子变得更靓丽,让我们看一看采用什么样的方法更能达到目的。

下面的三个实例是从JBL兄推荐的尼康网站上下载来的,下载地址如下:

https://www.wendangku.net/doc/5516704044.html,/jpn/p ... turenx/download.htm

从这个地址可以下载pdf格式的教程和例图,这是其中的第三个实例,如何改变天空的颜色?

如上图所示,操作极其简单。在天空的合适位置放置一个CP,然后将CP作用的范围拉到最大(100%),亮度减小一半(52%),对比度增加1/5(20%),饱和度增加4个点(4%),按确定按钮完成,大约花费2-3分钟。设置前和设置后的比如如下图:

从上面的比较图可以看出,天空变得更蓝而群山却更绿,却只用了一个CP,没有也不需要在白云和青山上放置CP。这说明两个问题:

1、CP的功能很强大,可以将过去需要很复杂的操作简化为极其简单的操作。以本图为例,CP的作用范围如下图所示:

从上图可以看出,如果不采用CP直接对全图进行上述设置,会将天上的白云和山上的白雪以及近处的山一起压暗,将无法达到采用CP后的效果。而且CP的作用范围在边界处是渐变的,在亮度改变的时候,其变化也是渐变的,符合我们的视觉习惯,感觉很自然。如果不用CP,由于白云等都是不规则的,需要花不少时间做选区才能将蓝天独立出来,并且还要针对不同的边界确定羽化值,与用CP比较费力费时。

2、要加强天空的颜色未必需要通过改变颜色来实现。如本例所示,采用改变亮度、对比度和饱和度的办法更为理想。不仅避免了色彩的失真,而且只需要一个CP即可解决问题,不存在改变颜色所带来的色彩污染问题,边界过渡也更自然。当然,如果硬要将阴天改为晴天

只能采用改变颜色的办法,但并不值得推荐。

实例之二,告别灰色

经常会发现自己拍出的片子发灰,不够明快,缺乏吸引力。原因何在呢?是相机不好?镜头不够高档?还是镜头后面的这颗头不灵光?其实,在很多情况下片子发灰的原因与上述因素无关,是客观条件使然,即便是大师在这样的情况下拍出来的片子也一样会发灰,只是我们看到的是经过后期加工和调整的片子,所以会以为大师的摄影技术比我们高明、设备比我们先进,只能自愧不如,望洋兴叹。其实,如果对后期调整和处理比较熟悉的话,我们也可以将灰蒙蒙的照片变得明快和靓丽。下面这张照片由于是逆光拍摄,因此不可避免地会发灰:

经过NX处理后,变成如下图这样,神奇吧?

让我们来看一下这张片子是如何处理的,如下图所示,仅仅只用了两个CP,第一个CP调整了4个参数,第二个CP只调整了两个参数,具体数值如图所示。

虽然很简单,但还是可以对其作一点分析。与上个实例相同的是本例也是只调整了范围、亮度、对比度和饱和度,没有改变颜色,却达到了增强颜色的效果。因此,正如我们在前一个实例中所指出,不要轻易地直接改变颜色,通过改变亮度等方式也许更容易达到目的。

本例的中最值得注意和思考之处是两个CP的位置和范围,两个CP都放在鹿的身上,并且第一个CP的作用范围是100%,第二个CP的作用范围只有16%,分别如下图所示:

从第一个CP的作用范围图可以看出,虽然其点位于鹿的身上,但靠近边沿,并且作用范围拉到最大,因此其影响的范围除了几处高光之外,几乎是全图。但CP的巧妙之处在于调整并不是平均作用在整个范围,而是与U点所在位置元素相同或接近的最强,然后渐次减弱,虽然这个CP的作用范围遍及全图,但作用效果却不相同,因此,无法用基本调整来代替。

第二个CP位于鹿身上的高光处,作用范围仅限于高光区域,在此设置CP使得可以压低高光,从而表现出高光部分的细节。

一个有趣的问题是实例的作者是如何来确定CP的位置的?各位不妨思考一下,如果是你会怎么放置CP?我认为一般人很可能会将两个CP一个放在背景上,一个放在鹿的身上,即便考虑到鹿身上的高光部位,也会多用一个CP来调整,而不是将两个CP都放在鹿身上。

我猜想实例的作者一定认为,应该尽量避免使用过多的CP,只使用必不可少的CP。虽然在NX的帮助文件中曾说到“使用的CP越多,控制越精确”,但我发现远不是这样,根据我的经验,在采用亮度、对比度、饱和度这三项来调整图象,最好还是少用CP。这也许是一个原则,但并不确定,希望有经验的同学也来谈谈自己的体会。

至于CP的位置,应该是试验的结果,由于CP是自动选择区域,不需要人工干预,所以我们可以用节约出来的时间来发现CP的合理位置和作用范围。如果能够找到放置CP位置和确定范围大小的规律,那么,意味着我们已经真正掌握了使用U点技术的诀窍。

实例之三,画笔的妙用

NX可以对局部进行调整,必须要有一些工具可以对区域进行选择,除了U点之外,还有画笔、选框和套索、渐变以及填充工具等,这些工具中以画笔工具最为灵活、也比较容易掌握。本例即是用画笔工具选出背景,再用高斯模糊对背景进行虚化。

第一步,如上图所示,选择画笔工具,请注意此时是画笔工具右侧上面的+号被按下,意味着此时画笔为加画笔状态,双击画笔工具,弹出选取画笔选项浮动窗口,将画笔硬度设置为0,不透明度设置为100%,用鼠标的话,用“[”和“]”这两个键调整画笔的粗细,也可在画笔选项的浮动窗口中调整,用画笔在图像需要虚化的部分涂抹,将其覆盖。如果在涂抹的过程中不小心覆盖了不要虚化的部分,可选减画笔(就是在画笔工具右侧将减号按下)或按下Alt键,将覆盖的部分擦去,一直到所有需要虚化的部分都已被涂抹。如下图:

第二步,在选择画笔工具的时候窗口右边的编辑列表里会加入一个名为“彩色化”的增强步骤,其下有一个下拉框,显示“彩色化”几个字,点击其右边的下三角按钮,将会弹出一个下拉菜单,移动光标到“对焦”项将会在左边出现第二级下拉菜单,选中其中第一项“高斯模糊”,将

网店美工视觉设计实战教程(全彩微课版)-48481-教学大纲

《网店美工视觉设计实战教程(全彩微课版)》 教学大纲 一、课程信息 课程名称:网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版) 课程类别:素质选修课/专业基础课 课程性质:选修/必修 计划学时:21 计划学分:2 先修课程:无 选用教材:《网店美工视觉设计实战教程(全彩微课版)》,何晓琴编著,2018年;人民邮电出版社出版教材; 适用专业:本书可作为有志于或者正在从事淘宝美工相关职业的人员学习和参考,也可作为高等院校电子商务相关课程的教材。 课程负责人: 二、课程简介 随着网店的迅速普及和全民化,衍生了“淘宝美工”这个针对网店页面视觉设计的新兴行业。本书从淘宝美工的角度出发,为淘宝卖家提供全面、实用、快速的店铺视觉设计与装修指导。主要包括网店美工基础、图片调色、图片修饰、店铺首页核心模块设计、详情页视觉设计、页面装修、视觉营销推广图制作等,最后针对无线端进行首页、详情页视觉的设计与装修。本书内容层层深入,并通过丰富的实例为读者全方面介绍淘宝美工在日常工作中所需的知识和技能,有效地引导读者进行淘宝店铺装修的学习。 本课程主要对淘宝美工的设计基础和方法进行详细介绍,通过学习该课程,使学生了解网店美工的基本要求,以及掌握网店的设计与制作。 三、课程教学要求

体描述。“关联程度”栏中字母表示二者关联程度。关联程度按高关联、中关联、低关联三档分别表示为“H”“M”或“L”。“课程教学要求”及“关联程度”中的空白栏表示该课程与所对应的专业毕业要求条目不相关。 四、课程教学内容

五、考核要求及成绩评定 注:此表中内容为该课程的全部考核方式及其相关信息。 六、学生学习建议 (一)学习方法建议 1. 理论配合实战训练进行学习,提高学生的实战动手能力; 2. 在条件允许的情况下,可以申请一个网店,进行深入学习; 3. 提高学生的是设计感和审美能力; (二)学生课外阅读参考资料 《网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版)》,何晓琴编著,2018年,人民邮电出版社合作出版教材

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

淘宝网店二维码使用教程全攻略

一、什么是二维码——还记得超市的条形码吗? 谈起“二维码”,可能很多人会犯糊涂。但是与它类似的“一维条形码”广泛地运用于超市商品识别,却是我们每个人都十分熟悉的。二维码正是“一维条形码”发展的“高级阶段”,在一个小小的方块里面包含一条链接地址,引导使用者通过扫描设备(如手机)快速进入相应 的网址。 图1:一维条形码图2:淘宝二维码 现在,淘宝为卖家们提供二维码在线生成的工具,您可以将您的店铺和宝贝的“手机浏览链接”转化成二维码印制出来,夹在包裹中、印在优惠券上甚至是你的商品上。举例来说,接收包裹时,买家拿到印有二位码的优惠券,此时,他们只需用手机的摄像头“照”一下这个黑白相间的小方块,就可以快速地通过手机进入您的店铺中。二维码还有更多的妙用和更多的好处。 图3:生活中使用淘宝二维码的场景

二、淘宝二维码妙在何处——轻轻一扫客源不断! 1. 好处在哪里? 淘宝买家通过手机上的二维码识别软件,扫描卖家发布的淘宝二维码,可以直接找到卖家的促销活动,店铺首页,宝贝单品。免去输入网址、关键词搜索的麻烦。 淘宝卖家可以将二维码印刷到包裹中的宣传物上(如优惠券、宣传册),随包裹发给买家,吸引买家通过二维码进入店铺进行二次购买,为您带来源源不断的客流。 您可以在PC店铺和商品详情页中贴出二维码,使顾客可以在手机中快速收藏,随时随地光顾您的店铺! 卖家还可以考虑在平面媒体(如《淘宝天下》)上发布带有二维码的促销活动。对于有能力大卖家,还可以在自己的商品上贴上相应的二维码。 图4:二维码的引流作用 2. 买家的操作方法 有软件和摄像头的买家:淘宝合作的免费二维码软件有淘宝Android版、快拍、QuickMark、码上淘、魔印等,手机访问https://www.wendangku.net/doc/5516704044.html,可快速下载。这些手机软件提供二维码扫描功能,只要买家打开这些软件,将摄像头对准二维码1秒中左右,识别成功后手机将自动进入对应的网页。 没有软件或者摄像头的买家可以在手机上进入https://www.wendangku.net/doc/5516704044.html,页面,输入活动码,同样能够进入到您所设置的链接中。 图5:买家的使用方法

QuartusII10.0简明教程

Quartus ii 10.0教程 说明 本文的部分章节,来源于本人翻译的Terasic DE2-115的英文入门文档。 平台 硬件:艾米电子EP2C8-2010增强版套件 软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 ?典型的CAD流程 ?开始 ?新建工程 ?录入Verilog设计 ?编译设计 ?引脚分配 ?仿真设计电路 ?编程及配置到FPGA器件 ?测试设计电路 典型的CAD流程 计算机辅助设计(CAD)软件,使得运用可编程逻辑器件实现所需逻辑电路,变得容易。比如现场可编程门阵列(FPGA)。典型的FPGA CAD设计流程如图1所示。

图1 典型的FPGA CAD设计流程 CAD流程包含以下步骤: ?设计输入——所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。 ?综合——输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。 ?功能仿真——综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。 ?布局布线——CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。 ?时序分析——分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。 ?时序仿真——测试已布局布线电路,验证其是否在功能和时序上都正确。 ?编程及配置——设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。 配置开关用于配置LEs和建立所需线路连接。 本指南介绍Quartus II软件的基本特征。展示如何使用Verilog硬件描述语言来设计和实现电路。使用GUI来实现Quartus II指令。通过本份指南,读者将学习到: ?新建工程 ?使用Verilog代码录入设计 ?将综合的电路布局到Altera FPGA ?分配电路的输入输出到FPGA上的指定引脚 ?仿真设计电路 ?编程配置艾米电子EP2C8核心板上的FPGA芯片 1. 开始 在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在D盘新建introtutorial文件夹。指南者运行的范例为一个简单的双路灯控电路。 打开Quartus II软件,将看到类似于图2的画面。该显示画面包括若干窗口,用户可使用鼠标选择,以访问Quartus II软件的相关功能。Quartus II提供的大多数命令都可用菜单形式来访问。例如,在图2中,在File标签下点击左键,可打开如图3所示的菜单。用左键单击Exit可退出Quartus II软件。

dw网页淘宝设计模板

竭诚为您提供优质文档/双击可除dw网页淘宝设计模板 篇一:dreamweaver在淘宝美工课中的应用 泉州新东方叶生方老师整理 dreamweaver在淘宝美工课中的应用 一、店铺装修(网页技术) 专业型课程分两个阶段:1、店铺装修基础阶段,2、html+css行内样式部分。 (一)实用型阶段——店铺装修基础 1、认识旺铺及类型、模板、模块、布局;旺铺20xx标准版拓展版20xx新版 2、ps切片导出web代码 3、dw表格排版 4、分类导航设计——ps切片、dw表格、热区三种技术综合运用 5、旺铺宽屏效果制作(页面背景、店头自定义、自定义大图三部分组成) 6、图片背景、旺旺代码、收藏代码、滚动代码 7、识别哪些效果属于模块功能,那些效果可以自定义

实现,常用的促销工具(限时打折、满就送、团购宝、搭配减价、会员管理等) 8、淘宝首页整体风格设计、“宝贝描详情页”风格设计 9、html常用标注介绍table、tR、td、img、a等用功能 (二)专业提升阶段——html+css行内样式 10、dw使用的注意要点以及认识tabletRtdFontdiVspanh1imgapliulmarquee等常用标注。 11、表格结构分析,学会手写简单的网页代码 12、认识css盒子模型 13、了解css排版基本方法——实际表格相似导航效果 14、运用css设计宝贝详情页版式、利用p、img标注的css属性设置版式 15、css美化表格 16、了解淘宝widget库的作用与意义——悬浮旺旺、宝贝放大镜等等特效 篇二:用dw做出漂亮的模板 如何用dw做出漂亮的促销表格模板 很多的卖家在逛淘宝店时会发现很多的店铺装修很美观,如打折模块和团购模块,自己想去问店主怎么制作,但是有几个淘宝卖家会告诉你呢,不过不要着急,下面让开淘网为你详解一番吧。今天我们讲的是如何用dReamweaVeR做

Quartus II教程

第3章 Altera Quartus II软件开发向导 基于Altera Quartus II软件的设计方法有模块编辑法、文本编辑法、宏模块编辑法和包含前三种方法的混合编辑法。宏模块设计法放在第6章讲述,本章通过实例简单介绍使用Quartus II软件的模块原理图编辑法、文本编辑法和包含前两种方法的混合编辑法。 3.1模块编辑及设计流程 Quartus II软件的模块编辑器以原理图的形式和图标模块的形式来编辑输入文件。每个模块文件包含设计中代表逻辑的框图和符号。模块编辑器可以将框图、原理图或符号集中起来,用信号线、总线或管道连接起来形成设计,并在此基础上生成模块符号文件(.bdf)、AHDL Include文件(.inc)和HDL 文件。 3.1.1 原理图输入文件的建立 在这里我们设计非常简单一个二输入的或门电路。它只包含一个或门、两个输入引脚和一个输出引脚。首先创建一个原理图形式的输入文件。步骤如下: (1)打开模块编辑器 单击【File】|【New】,弹出新建文件对话框,如图3.1所示。 图3.1 新建文件对话框 选择文件类型【Block Diagram/Schematic File】,打开模块编辑器,如图3.2所示。使用该编辑器可以编辑图标模块,也可以编辑原理图。

·217· 图3.2 模块编辑器 Quartus II提供了大量的常用的基本单元和宏功能模块,在模块编辑器中可以直接调用它们。在模块编辑器要插入元件的地方单击鼠标左键,会出现小黑点,称为插入点。然后鼠标左键,弹出【Symbol】对话框,如图3.3所示。或者在工具栏中单击图标,也可打开该对话框。 图3.3 Symbol对话框 在Symbol对话框左边的元件库【Libraries】中包含了Quartus II提供的元件。它们存放在\altera\quartus60\libraries\的子目录下,分为primitives、others、megafunctions三个大类。 基本逻辑函数(primitives) 基本逻辑函数存放在\altera\quartus60\libraries\ primitives\的子目录下,分别为缓冲逻辑单元(buffer)、基本逻辑单元(logic)、其它单元(other)、引脚单元(pin)和存储单元(storage)五个子类。Buffer子类中包含的是缓冲逻辑器件,如alt_in buffer、alt_out_buffer、wire等;logic子类中包含的是基本逻辑器件,如and、or、xor等门电路器件;other子类中包含的是常量单元,如constant、vcc和gnd等;pin子类中包含的是输入、输出和双向引脚单元;storage子类中包含的是各类触发器,如dff、tff等。

QuartusII简明教程(完整版)

目录 : Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用 New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。 还可以指定要在工程中使用的设计文件、其它源文件、用户库和 EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1) 选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2) 输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3) 加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4) 选择设计器件,如图1.5所示。 图 1.5 选择器件

(5) 选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6) 建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

淘宝装修 SDK高级模板开发流程

淘宝网装修市场 高级模板设计指南1

目录 1、本地开发环境 (3) 1.1 什么是SDK (3) 1.2 下载SDK (3) 1.3 安装SDK (4) 1.4 SDK的目录结构 (7) 1.5 启动SDK (8) 2、使用SDK后台 (10) 2.1 创建模板 (10) 2.2 预览模板 (17) 2.3 配置SDK本地属性 (18) 3、模板的标准结构 (18) 4、设计模块 (18) 4.1 创建相关文件资源 (18) 4.2 编写模块代码 (19) 4.2.1 引入标识 (19) 4.2.2 使用KISSY效果 (19) 4.2.3 使用模块参数 (19) 4.2.4 调用数据接口 (20) 4.3 配置模块信息 (20) 5、设计页面 (22) 5.1 结构化页面 (22) 5.2 设计页面 (24) 5.2.1 引入单个模块 (24) 5.2.2 划分页面片区 (25) 5.2.3 配置页面信息 (26) 6、特殊页面要求 (27) 6.1 宝贝详情页面 (27) 6.2 宝贝列表,文章列表页面 (27) 6.3 不可装修的页面 (27) 7、调试模板装修效果 (28) 8、关于白名单过滤 (28) 9、PHP函数 (28) 10、发布模板到装修系统 (28) 2

1、本地开发环境 1.1什么是SDK SDK是淘宝提供给设计师在本地设计模板的软件开发包,SDK模拟了淘宝店铺环境。 SDK主要包括三个部分:Server,PHP引擎和模拟数据。 Server是指SDK会在本机上启动一个Server,这样我们可以通过浏览器浏览设计的作品。PHP引擎是指设计师只能使用PHP作为模板设计的语言。 模拟数据是指SDK包括了淘宝各个典型的店铺,这样设计师在设计模板时不用考虑实际的数据库。 目前,提供Windows操作系统和Mac操作系统的SDK工具。 1.2下载SDK 装修市场后台下载安装包,安装至本地,创建SDK web控制台。您将使用淘宝ShopSDK 来开发和上传设计模板。在开始设计之前,需在本地部署SDK环境。 设计师登录装修市场后,点击“设计师后台”导航按钮进入。(加入设计师注册流程帐号。) 进入设计师后台界面: 3

Quartus II FPGA烧写教程

在Quartus II中使用JTAG模式固化程序到EPCS中的方法.[Quartus II]范例 流水灯 图1 流水灯范例 实现步骤 步骤1: 在Quartus II中,单击File->Convert Programming Files..。打开编程文件转换程序,如图2所示。

图2 编程文件转换程序界面 在此界面中。在Programming file type:标签后选择JTAG Indirect Configuration File (.jjc);在C onfiguration device:标签后选择你所使用的EPCS型号,此外我选择EPCS4。在Input file to conv ert方框中,单击Flash Leader,再单击Add Device..,如图3一样选择EP2C8(请根据自己的FPG A型号自行选择);单击SOF Data,再单击Add File..,选择Quartus II编译后的sof文件。配置完成界面如图4所示。

图3 Add Device

图4 配置完成界面 单击Generate,开始生成jjc文件。成功界面如图5。 图5 成功界面 关闭Convert Programming Files界面,进入下一步骤。

步骤2: 在Quartus II中,单击Tools->Programmer,进入下载界面,如图6所示。 图6 下载界面 在此界面,单击Hardware Setup,选择下载线缆。双击选择Usb-Blaster,如图7所示。

图7 选择Usb-Blaster 回到下载界面,单击led_water.sof,再单击Change File..,选择刚才转换的output_file.jjc。添加完成后,安装图8所示勾选选项,选择jjc文件。 图8 选择jjc文件 单击Start,开始下载。下载完成后,请点击复位键或者重启开发板,就会看见固化的程序了。 友情提示:EPCS擦写次数有限(请自行查询),请尽量采用JTAG模式下载sof文件测试程序。

富网店,淘宝详情页及主图制作

加入富网店俱乐部https://www.wendangku.net/doc/5516704044.html, 摘要:主图决定点击率,详情页决定转化率,主图是详情页的精华所在,是整个详情页的缩影。一般来说,先有详情页,后有主图。 在讨论今天的问题之前,我们先想想,淘宝能提供的资源其实就是展现。每一个网页的位置是有限的,例如搜索页的第一页就只能展示48个宝贝,类目页的第一页是95个宝贝,我们凭什么淘宝要把有限的免费展位资源给自己呢? 其实这点,和我们交朋友拜把子一个道理,当双方都能够给对方正反馈的时候,感情会一直维系下去。当一方长期接收对方的付出,但是却不反馈的时候,这段感情就岌岌可危了。所以,你希望淘宝照顾你,你自己也得照顾淘宝。 一、为什么要做好主图和详情页? 要怎么照顾淘宝?那就要了解淘宝的KPI(关键绩效指标)——销售额。我们先从一个订单的产生过程入手,看看如何帮助淘宝,提高它的KPI。 第一步:产生需求。比如天冷了,需要一件长袖的衣服。此刻脑海里面浮现出一件长袖衬衫。 第二步:选择淘宝平台,敲入关键词:长袖衬衫男。 第三步:看图片。

第四步:看哪个宝贝顺眼,点击宝贝。 这一页的搜素结果只有48个宝贝,但是我优先选择了其中一个点击进去,其他的47个宝贝就不会有流量了。这里就涉及到一个核心指标“点击率”,展现量*点击率=点击量(也就是流量)。 注意,淘宝把你放在搜索的第一页上面,不一定有流量,还得有买家点击了,你才有流量。试想,如果淘宝把你放在搜索首页,半天都没人点你的宝贝,猜猜下一秒会发生什么?淘宝会很生气,后果很严重!结果就是连展现都不给你了! 第五步:从上往下扫描详情页。 第六步:看评价,到了这一步如果没有什么意外就买单了。 第七步:掏钱买单 当然,如果详情页看了让我一点欲望都没有,我连评价都不看了,直接关掉页面走人。所以这里又有一个核心指标“转化率”,访客数*转化率*客单价=销售额,客单价=支付宝成交金额/成交用户数,也就是人均在你店里消费多少RMB。 综上,我们会发现,核心KPI主要是点击率(主图)和转化率(详情页)。一般来说,都有这么一个逻辑,先有详情页,后有主图,因为主图就是详情页的精华所在,是整个详情页的缩影。所以这里,我们先讲详情页。 二、详情页设计必要的几个动作

2019年中职商品经营专业改革建议

2018年商品经营专业改革建议 经济与管理教研组起草 1 现状分析 鉴于2017年8月商品经营专业招生只有16人报读,以至于该专业被暂停招生。商品经营专业作为经济管理教研组的主要专业,具有招生的吸引力,能够吸引多一点女生前来报读我校。目前商品经济已经进入互联网+时代,互联网、物联网、大数据已经占领了商品经营的半壁江山,即网商、微商、电子商务、B2C、电子支付结算的兴起,传统实体零售业态如超市、零售店、百货商场已经逐步被网店、微店取代,信息化、自动化、现代化是当今商品经营发展主要趋势。因此,商品经营专业课程设置必须与时俱进,商品经营专业的课程设计目标是围绕培养实体店铺的超市、百货商店的销售人员,在大格局观的指导下,商品经营的课程设置必须改革,必须紧跟时代步伐,否则我们这个专业必将遭到淘汰。只有改革,才能生存,才能图发展,为了商品经营专业在2018年8月秋季新学期的持续发展,吸取2017年的经验教训,建议从新经济格局出发,全面改革商品经营的课程设计,课程设计方向结合我校校情和资源配置,与新兴市场业态接轨,重新拟定本专业课程开设方向。 2 课程设计改革 2.1改革方向 在商品经营这个大专业下,设计两个方向分支,第一,商品经营(“互联网+”营销方向)。第二,商品经营(房地产营销方向)。之所以这样设计,是因为目前这两个方向都是市场经济最热门的方向,吸引大量的人才进入,前景很好,有利于就业。互联网+的网络虚拟经济也是国家鼓励发展的创新创业型商业业态,吸引了很多人才在电子商务领域从业。此外,房地产一手房、二手房的销售、租赁、按揭也正处于上升期。 2.2 专业改革策划思路 在招生宣传时,重新修改商品经营专业的课程授课方向及专业优秀,让学生、家长都知道,商品经营这个传统专业已经与时俱进,灌入了与当今互联网、物联

Quartus-II使用教程-完整实例2

Quartus □入门教程 (一个Verilog 程序的编译和功能仿真) Quartus n 是Altera 公司推出的专业 EDA 工具,支持原理图输入、硬件描述语言的输 入等多种输入 方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字 系统。接下来我们对这种智能的 EDA 工具进行初步的学习。使大家以后的数字系统设计更 加容易上手。 \ £JJ 訓闿./ FYQcessho Ejdra Ihlo Inlo Wartimj —Citic-al Wairphj —入 E IKM A 5申卩旧貝6?:1 A Flaci / [Mswage: 快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也可 以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。 For Help, press, Fl Idb 恤 UM 菜单栏 第一步:打开软件 快捷工具栏 Ale Proje

淘宝主图视频制作教程

淘宝主图视频制作教程_短短的9秒视频就能让大家可以一瞬间让众多观看者关注视频,可以让一个人一夜成名。同样地,淘宝9秒主图视频要的也是这样的效果,可以说是更强大的影响,也就是促进淘宝网内成交率的提升。商品详情页首屏第一个商品主图位置出现的视频,即主图视频。由于商品主图位置是买家进入详情页第一眼所见,因此主图以9秒影音动态的形式呈现,将增加用户在店停留时间,同时有效的在短时间内提升买家对商品的了解,促进买家做出购买决定。 对于商家来说,除了不正规手段,一切能帮助他们提高商品成交率的都是他们所追求的,但基于自身情况的考虑,大部分不希望付出太多的资金,一来,他们怕店铺装修得非常豪华,成交率却毫无起色;其次就是他们即使有信心,但制作出来的主图视频质量不好。这些都会直接营销店铺流量的!这个时候,甩手工具箱制作主图视频功能就能起到很大的作用了。 要想提高商品转化率,就要制作一个主图视频,让你的商品顿时灵动起来,再也不用靠花哨的图片后期处理,也不用绞尽脑汁想如何掳获人心的文字。与其它制作主图视频工具不同的是,甩手工具箱可以利用原来商品素材一键制作主

图视频,节省制作成本,不需要后期剪辑,任何新手都能操作。 制作主图视频步骤: 1、设置制作范围 请根据制作主图视频的范围需求,选择一下整店制作或者是批量制作 a、整店制作(适合制作整店或者店铺分类下主图视频) 输入待制作主图视频的淘宝店铺帐号或者店铺地址,然后点击下一步 b、批量制作(适合制作单个或者多个主图视频) 输入您要生成主图视频的商品地址,点击“下一步" 2、选择待制作商品主图视频的商品 勾选待制作主图视频的商品后,点击“下一步” 3、主图视频设置 选择设置主图视频信息后,点击下一步 4、主图视频详情 勾选制作成功的主图视频商品,点击“下一步”在弹出设置主图视频位置窗体中,点击修改按钮,设置一下存放主图视频位置,最后点击”确定导出“按钮 5.导出商品主图视频文件 导出商品主图视频文件后,可以在淘宝卖家中心编辑宝

QuartusII教程(完整版)

Quartus II 的使用......................................... 错误!未定义书签。 1 工程建立 ................................................... 错误!未定义书签。 2 原理图的输入 ........................................... 错误!未定义书签。 3 文本编辑(verilog) ............................. 错误!未定义书签。 4 波形仿真 ................................................... 错误!未定义书签。

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下: (1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

相关文档
相关文档 最新文档