文档库 最新最全的文档下载
当前位置:文档库 › 集成电路培养方案

集成电路培养方案

集成电路培养方案
集成电路培养方案

西安邮电学院电子工程学院

本科集成电路设计与集成系统专业培养方案

学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士

一、专业培养指导思想

遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。

二、专业培养目标

本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。

三、学制与学分

学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

四、主干学科

电子科学与技术

五、主要课程

本专业主要专业基础和专业课程有:电路分析基础、模拟电子技术基础、数字电路与逻辑设计、高频电子线路、信号与系统、数字信号处理、通信原理、电磁场与电磁波、微机原理与嵌入式系统、计算机系统结构、半导体器件、Verilog HDL数字系统设计、基于FPGA的嵌入式系统设计、模拟集成电路设计、数字集成电路设计、集成电路工艺原理、EDA技术实验、SoC设计方法学等。

六、培养体系结构及学分比例

本专业培养方案课内安排2496学时,分为三个平台:

1.全校公共基础课平台:1216学时,占课内总学时48.72%。

2.学科基础课平台:768学时,占课内总学时30.77%。

3.专业课平台:512学时,占课内总学时20.51%。

本专业四年课内总学分156学分,必修课与选修课比例为:7:3。

本专业四年内实践环节教学总时间(包括课内实验、上机等),安排不少于50周,其中集中实践教学环节安排34周,除此之外,学生必须参加课外科技活动和实践活动,并取得8个以上的学分,实践环节总学分为62学分。

七、教学进程总体安排及课程设置(含课程性质、类型、学时、学分分配、教学方式、开课时间、实践环节安排等)

1. 各学期周数分配表(见附表一)

2. 教学进程安排表(见附表二)

3. 课程安排表(按学期分配)(见附表三)

4. 本专业提供辅修的核心课程(见附表四)

八、实践教学体系安排表

实践教学体系表---集中实践环节和课外实践环节

九、集中实践环节内容及基本要求

本专业在四年中安排了军训、认识实习、高级语言程序课程设计、电装实习、数字逻辑设计课程设计、电路设计与仿真基础训练、SoPC课程设计、集成电路设计课程设计、科研训练、生产实习、毕业设计等实践教学环节共计34周。这些实践环节对培养学生的实践和创新能力有着极为重要的作用,是本专业培养集成电路设计人才的特色之一。

1、认识实习

时间:安排在第二学期,为期0.5周。

内容目的:组织学生到集成电路设计企业、电信公司等相关企业和部门参观学习。

了解这些部门的组织管理和业务流程。获取对集成电路设计的感性认识。

地点:相关企业、机构与学校。

组织形式:由院系部组织安排。

2、高级语言程序课程设计

时间:安排在第二学期,为期1.5周。

内容目的:让学生综合应用所学的计算机基础知识和所掌握的程序设计语言,自行设计并实现一个较为完整的小型管理信息系统或小型应用系统的设计与开发。其主要目的是使学生通过系统分析、系统设计、编程调试,写实验报告等环节,初步掌握软件系统设计的方法和步骤,灵活运用程序设计语言进行软件开发的能力,提高分析问题和解决问题的能力,提高程序设计水平。

地点:校内。

组织形式:由教务处组织安排。

3、电装实习

时间:安排在第三学期后两周,为期2周。

内容目的:在学习模拟电路理论知识的基础上,利用相关知识分析模拟电路(如:收音机)的工作原理,并动手焊接一些电路。掌握焊接技术,更深刻地理解电路的工作原理。指导老师给出实习的相关内容,并对实习的全过程进行指导,帮助学生分析解决实习过程中遇到的问题。在完成实习内容的基础上,写出完整的实习报告,重点分析遇到的问题并给出解决方法,从而提高分析与解决问题的能力。

地点:电装实验室。

组织形式:由院系部组织安排。

4、数字逻辑课程设计

时间:安排在第四学期后两周,为期2周。

内容目的:数字逻辑课程设计在“数字电路与逻辑设计”和“数字电路实验”课的基础上,进一步深化的实践环节。其主要目的是通过本课程设计,培养、启发学生的创造性思维,进一步理解数字电路系统的概念,掌握小型数字电路系统的设计方法,掌握小型数字电路系统的组装和调试技术,掌握查阅有关资料的技能,提高分析和解决实际问题的能力。基本任务是设计一个具有实际应用价值的小型数字电子系统。通过本课程设计要加强学生“数字电路系统”的概念,从“系统”的层次分析问题、解决问题。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外,要特别注重使用“电路组装”的方法。

地点:校内。

组织形式:由院系部组织安排。

5、电路设计与仿真基础训练

时间:安排在第五学期前两周,为期2周。

内容目的:通过一个经典设计实例,基本掌握Multisim和Altium Designer两个实用EDA软件工具。掌握Multisim模拟、数字电路仿真,虚拟仪器观察使用;掌握Altium Designer原理图设计,包括原理图元件库设计;掌握Altium Designer PCB 设计,包括封装库设计,自动布线规划。巩固和加深对电子电路理论知识的理解,运用课程中所学的电路分析和设计方法解决实际问题,使学生树立严肃认真的工作作风和实事求是的科学态度。

地点:校内。

组织形式:由院系部组织安排。

6、SoPC课程设计

时间:安排在第六学期后两周,为期2周。

内容目的:巩固《数字电路与逻辑设计》、《Verilog HDL数字系统设计》、《微机原理与嵌入系统》、《高级语言程序设计》、《基于FPGA的嵌入式系统设计》等课程所学知识,使用Nios II软核设计并实现高性能嵌入式硬件/软件系统,硬件电路采用Verilog HDL设计方法,使用C语言进行软件程序设计,培养学生初步的数字电路设计能力、C语言编程能力以及嵌入式系统开发能力,提高学生综合素质。要求学生完成技术规范制订、总体方案设计、详细方案设计、硬件电路设计、仿真验证、综合布局布线、后仿真、下载实现、软件编程调试等全过程,并提交相应文档。初步具备FPGA嵌入式系统开发能力以及数字集成电路前端设计能力。

地点:校内。

组织形式:由院系部组织安排。

7、集成电路设计课程设计

时间:安排在第七学期后两周,为期2周。

内容目的:巩固《数字集成电路设计》、《CMOS模拟集成电路设计》、《EDA技术实验》等课程所学知识,要求学生根据指导教师布置的设计题目,根据集成电路设计流程,使用EDA工具完成VLSI芯片的全部设计,包括系统结构划分、功能的语言描述、仿真、综合、版图设计参数提取与规格检查、静态时序分析等。通过本课程的训练,使学生对集成电路设计流程有较完整和深入的认识,能够熟练应用相关的EDA实现工具,培养学生初步的集成电路物理层设计能力和较好的学习与实践能力。

地点:校内。

组织形式:由院系部组织安排。

8、科研训练

时间:安排在第七学期,与教学同步。

内容目的:科研训练是课外实践环节的必修课,是一门综合性的工程实践设计课程。

让学生在学完专业基础知识和完成基本实验和实践训练环节前提下,结合一个大型综合课题了解科研工作的研发过程,并掌握科研工作的基本步骤,包括:进行具体的科研选题;查找相应的参考资料;写出较为详细的实现方案;进行相关的上机实验;完成科研训练报告。充分发挥学生的自我能动性和创造力,引导学生由原理分析向工程设计过渡;培养学生的工程设计能力和创造力,为最后学期的毕业设计进行准备训练。

地点:校内。

组织形式:由院系部组织安排。

9、生产实习

时间:安排在第八学期前四周,为期4周。

内容目的:通过该实践教学环节,使学生具有较强的半导体器件、集成电路生产实践和设计开发能力,进一步掌握和加深微电子技术领域相关理论知识和实践经验,培养学生灵活运用理论知识解决实际问题的能力,培养学生认真刻苦、缜密思维和自主创新的科学精神,同时给毕业论文的写作提供准备,安排学生在相关企业、学校或居住地就近联系单位实习。

地点:相关企业、学校或居住地就近单位。

组织形式:由院系部组织安排。

10、毕业论文

时间:安排在第八学期,为期14周。

内容目的:毕业论文是对学生四年学习效果的检验和总结,在教师的指导下确定题目,收集资料,进行相关的实验验证,根据教师的安排进行论文写作。

地点:校内或校外。

组织形式:各系部教师拟定大体研究领域、范围或是具体题目。学生根据自己的实际情况和研究爱好与指导教师协商后选定题目,在教师的指导下按照确定的程序进行论文设计和写作。

十、课外科技与实践活动内容及基本要求

本专业学生在四年中必须修满课内156学分,集中实践环节34学分。除此之外,为了进一步加强能力和素质培养,还安排了课外培养项目。学生必须参加课外科技与实践活动,并取得8个以上的学分,要求学生必须完成电子技术综合设计1学分、集成电路版图设计训练1学分、社会实践活动1学分、学术活动(听报告或讲座并撰写报告)1学分、学科竞赛等活动1学分、专业综合1学分以及校园文化等活动2学分。本专业四年除课程安排实验外,实验室对学生实行开放。

1、电子技术综合设计实践

时间:安排在第五学期,与教学同步。

内容目的:电子技术综合设计实践是集电路分析、模拟电子技术、数字电子技术以及电路实验、模拟电子技术实验、数字电子技术实验等课程之后的一门理论与实践相结合的综合设计性实践环节。采用开放式教学形式,由指导教师讲解电子电路设计的一般思路,提出本次电子技术综合设计的任务、要求,提供部分参考题目以及相关的技能、技巧;学生通过查阅文献资料,自行选题分析设计。在实验过程中,学生根据自己所选定的题目要求,拟定设计方案,并在计算机上完成原理分析、电路设计和模拟仿真。在仿真通过的基础上,经过选定元器件、制板、组装、焊接、调试等环节,最终完成实验样机。最后,完成课程设计报告。通过该实验激发学生学习兴趣,使学生掌握如何用CAD软件对电子线路进行分析和设计,提高学生的电子工程素质和科学实验能力,能对所学知识融会贯通,综合运用。

地点:校内。

组织形式:由系部和教务处组织安排。

2、集成电路版图设计训练

时间:安排在第六学期,与教学同步。

内容目的:集成电路版图设计训练使学生掌握运用特定的计算机软件(EDA)从事芯片物理结构分析、版图编辑、逻辑分析、版图物理验证、版图布局布线、建立后端

设计等流程的能力。根据所给CMOS集成电路版图,提取电路;将所提电路与原版图进行LVS,并分析电路功能;按新工艺的有关参数进行电路再设计和模拟;按新工艺的设计规则进行版图再设计,并进行DRC和LVS;对版图进行LPE,并进行后模拟。

要求学生完成各个设计环节,提供各个设计环节的结果和设计报告。

地点:校内。

组织形式:由系部和教务处组织安排。

3、社会实践

时间:安排在第五学期前,在假期进行。

内容目的:社会实践是课外实践环节的必修课。学生可利用假期时间,以个人或小组为单位,通过对社会焦点热点的调查研究和思考探讨,让同学在度过一个充实而有意义的暑假的同时,也让同学们走近社会,关注社会,从实践中感知和体验社会,深入了解和探讨社会问题,从而提高大家探索问题、分析问题和总结问题的能力,是体验生活、锻炼自己的一种必要途径。原则上调研时间不得少于七天。调研形式不限,渠道不限,鼓励创新和节约。收集到第一手资料后要求将调研成果汇总成一份不少于1500字的调研报告。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

4、学术报告

时间:安排在每个学期,与教学同步。

内容目的:为了增强学生科研创新意识,更多地接触学术前沿,更多地参与学术研究,营造良好的学生学术科研创新氛围。每学年应至少听3次学术报告,大学四年必须参加10次以上的学术报告或讲座,然后撰写一篇不少于1500字的调研报告。

通过学术报告或讲座为学生开展学术报告会活动,有利于培养学生研究性学习习惯,有利于培养学生发现问题解决问题的能力,有利于培养学生创新意识与创新能力,有利于营造良好的学术氛围与学习风气。学术气氛孕育创新思维,创新思维源于学术交流,学术交流活跃学术气氛。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

5、学科竞赛等活动

时间:安排在每个学期,与教学同步。

内容目的:为了增强学生科技创新意识,培养学生的学习兴趣、增强学生的实践能力。大学四年应该完成学科竞赛等活动并取得1分。具体要求见下表,其中跨学科

选修课程包括人文模块、经济管理模块、信息技术模块(含通信概论)、自然科学模块等。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

6、校园文化活动

时间:安排在每个学期,与教学同步。

内容目的:大学生校园文化活动以开展各种有益大学生身心健康的文化艺术活动为主要内容,包括体育比赛、演讲、辩论、文艺演出、书法绘画等,大力开展丰富多彩、积极向上的学术、科技、艺术、体育和娱乐活动,把德育、智育、体育、美育有机结合起来,培养大学生的学习能力、创新能力、实践能力、交流能力和社会适应能力,全面提高大学生的综合素质。大学四年应该参加校园文化活动并取得2学分。具体要求见下表,其中素质拓展类课程包括职业生涯教育、心理健康教育、数学建模、电子设计、就业指导、情报检索、卫生保健、以及外语类、体育类、艺术类等课程。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

7、专业综合

时间:安排在第七学期,与教学同步。

内容目的:让学生在学完专业基础知识和完成基本实验和实践训练环节前提下,通过对四年知识、能力、素质的总结和考核,使学生对集成电路设计与集成系统专业有一个总体把握和更深入的理解,为生产实习、就业和毕业设计打下良好的基础。

地点:校内。

组织形式:由系部组织安排。

十一、课程中英文对照表(见附表五)

附表一:

各学期周数分配表

112

教学进程安排表

注:《形势与政策》课在各专业均1-7学期开设并以讲座形式安排,每学期16学时(其中讲座6学时,多种教学形式10学时)。

附表三:

课程安排表(按学期编排)

专业:集成电路设计与系统集成

注:1、课程类型分为必修、选修

2、学分要求为学生每学期必须完成的学分数

续表:

课程安排表(按学期编排)

专业:集成电路设计与系统集成

注:1、课程类型分为必修、选修

2、学分要求为学生每学期必须完成的学分数

注:1、课程类型分为必修、选修

2、学分要求为学生每学期必须完成的学分数

集成电路设计基础作业题解答

第五次作业 、改正图题所示TTL 电路的错误。 如下图所示: 解答: (a)、B A B A Y ??=?=0,A,B 与非输出接基极,Q 的发射极接地。从逻辑上把Q 管看作单管禁止门便可得到B A Y ?=。逻辑没有错误! 若按照题干中所示接法,当TTL 与非门输出高电平时,晶体管Q 的发射结要承受高压,必然产生巨大的电流。为了不出现这种情况,可以在基极加一电阻或者在发射极加一二极管。但发射极加二极管后会抬高输出的低电平电压。所以只能在基极加一大电阻,实现分压作用。 另外一种方法是采用题(a )图中的A 输入单元结构。 & & ≥1 1 1

(b)、要实现由,我们可以使用线与+得到和B A B A 。但题干中的线与功能不合理。若其中一个为高电平且另外一个为低电平时,高电平输出降会往低电平输出灌电流,从而容易引起逻辑电平混乱。为了消除这一效应,可以在各自的输出加一个二极管。 (c)、电阻不应该接地,应该接高电平 (d)、电阻不应该接VCC ,而应该接低电平 、试分析图题(a ),(b)所示电路的逻辑功能。 解答: 图(a )中,单元1实现了A 的电平输入,B 是A 的对称单元。 功能单元2实现了A 和B 输入的或逻辑 功能单元4充当了Q8管的泄放网络,同时抬高了Q3,Q4管的输入逻辑电平,另外该单元还将或的结果传递给了Q8管 功能单元3中的Q8管实现了非逻辑,Q6和Q7复合管加强了输出级的驱动能力。 综上所述,(a )电路实现功能为B A Y +=,即或非的功能 图(b)中,Q1,Q2管依然实现传递输入的功能,Q3,Q4管实现或非的功能 Q6管和Q5管以及R5,R7共同组成的泄放网络实现了电压的传递 Q9管实现了非功能,Q7,Q8管依然是用来驱动负载的。Q9管和Q7,Q8轮流导通 综上所述,(b )实现的功能为B A B A Y +=+=

清华大学2012年集成电路设计实践课程课件

集成电路设计实践
李福乐 lifule@https://www.wendangku.net/doc/5e8935095.html, @ g 清华大学微电子学研究所 助教: 李玮韬 王少鹏 liwt07@https://www.wendangku.net/doc/5e8935095.html, wspeng511799@https://www.wendangku.net/doc/5e8935095.html,
1

集成电路设计实践
课程简介 设计题目与实例 集成电路的制作与设计流程 可测性设计注意事项 芯片规格及封装 基础知识
版图的基本概念 版 的基本概念 CMOS工艺中的元件 版图设计规则 版图设计准则
设计工具的使用(实验课)
2

一、课程简介 一 课程简介
基本情况 学分: 学分:2 时间:春季学期(部分)+秋季学期(部分) 内容: 内容 电路设计、版图设计、芯片加工、样片 封装、样片测试、总结报告。 封装 样片测试 总结报告
3

一、课程简介(续) 、课程简介(续)
课程特点: 课程特点
完整的IC设计流程训练 重点在物理层和后端设计
工艺 集成元件 版图 芯片测试
实践为主, 工作量大 测试结果最重要
4

一、课程简介(续) 、课程简介(续)
安排: 1. 前期:设计题目选择、设计方案、电路 1 前期 设计题目选择 设计方案 电路 设计和仿真、版图设计 2. 中期:芯片加工、整理设计文档。 2 中期 芯片加工 整理设计文档 3. 后期(秋季学期):样片测试、总结报 告、答辩。
5

一、课程简介(续) 、课程简介(续)
第1~9周 第1 9周 教师向学生提供设计规则、版图要求、报告 格式要求;介绍必要的版图知识、设计方法 格式要求 介绍必要的版图知识 设计方法 及工具;有关测试、封装及注意事项;设计 题目介绍等。 2.学生选题与分组 3. 完成可测性电路设计方案及版图设计总体方 案(包括关键电路的处理、管脚安排、PAD 要求、测试点、测试方法等) 第六周与老师讨论前端设计,通过后方可进 第六周与老师讨论前端设计 通过后方可进 行版图设计!
1.
6

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

半导体集成电路习题及答案

第1章 集成电路的基本制造工艺 1.6 一般TTL 集成电路与集成运算放大器电路在选择外延层电阻率上有何区别?为什么? 答:集成运算放大器电路的外延层电阻率比一般TTL 集成电路的外延层电阻率高。 第2章 集成电路中的晶体管及其寄生效应 复 习 思 考 题 2.2 利用截锥体电阻公式,计算TTL “与非”门输出管的CS r 2.2 所示。 提示:先求截锥体的高度 up BL epi mc jc epi T x x T T -----= 然后利用公式: b a a b WL T r c -? = /ln 1ρ , 2 1 2?? =--BL C E BL S C W L R r b a a b WL T r c -? = /ln 3ρ 321C C C CS r r r r ++= 注意:在计算W 、L 时, 应考虑横向扩散。 2.3 伴随一个横向PNP 器件产生两个寄生的PNP 晶体管,试问当横向PNP 器件在4种可能 的偏置情况下,哪一种偏置会使得寄生晶体管的影响最大? 答:当横向PNP 管处于饱和状态时,会使得寄生晶体管的影响最大。 2.8 试设计一个单基极、单发射极和单集电极的输出晶体管,要求其在20mA 的电流负载下 ,OL V ≤0.4V ,请在坐标纸上放大500倍画出其版图。给出设计条件如下: 答: 解题思路 ⑴由0I 、α求有效发射区周长Eeff L ; ⑵由设计条件画图 ①先画发射区引线孔; ②由孔四边各距A D 画出发射区扩散孔; ③由A D 先画出基区扩散孔的三边; ④由B E D -画出基区引线孔; ⑤由A D 画出基区扩散孔的另一边;

⑥由A D 先画出外延岛的三边; ⑦由C B D -画出集电极接触孔; ⑧由A D 画出外延岛的另一边; ⑨由I d 画出隔离槽的四周; ⑩验证所画晶体管的CS r 是否满足V V OL 4.0≤的条件,若不满足,则要对所作 的图进行修正,直至满足V V OL 4.0≤的条件。(CS C OL r I V V 00 ES += 及己知 V V C 05.00ES =) 第3章 集成电路中的无源元件 复 习 思 考 题 3.3 设计一个4k Ω的基区扩散电阻及其版图。 试求: (1) 可取的电阻最小线宽min R W =?你取多少? 答:12μm (2) 粗估一下电阻长度,根据隔离框面积该电阻至少要几个弯头? 答:一个弯头 第4章 晶体管 (TTL)电路 复 习 思 考 题 4.4 某个TTL 与非门的输出低电平测试结果为 OL V =1V 。试问这个器件合格吗?上 机使用时有什么问题? 答:不合格。 4.5 试分析图题4.5所示STTL 电路在导通态和截止态时各节点的电压和电流,假定各管的 β=20, BEF V 和一般NPN 管相同, BCF V =0.55V , CES V =0.4~0.5V , 1 CES V =0.1~0.2V 。 答:(1)导通态(输出为低电平) V V B 1.21= , V V B 55.12= ,V V B 2.13= ,V V B 5.04= ,V V B 8.05= ,

集成电路设计实训

研究生课程开设申请表 开课院(系、所):集成电路学院 课程申请开设类型:新开√重开□更名□(请在□内打勾,下同)

一、课程介绍(含教学目标、教学要求等)(300字以内) 本课程将向学生提供集成电路设计的理论与实例相结合的培养训练,讲述包括电路设计与仿真、版图设计和验证以及寄生参数提取的完整全定制集成电路设计流程以及CADENCE与IC制造厂商的工艺库配合等内容。通过系统的理论学习与上机实践,学生可掌握集成电路设计流程以及各阶段所使用的工具,并能进行集成电路的设计工作。 掌握资料查询、文献检索及运用现代信息技术获取相关信息的基本方法;培养学生具有一定的设计,归纳、整理、分析设计结果,撰写论文,参与学术交流的能力。 指导学生学会如何利用现代的EDA工具设计集成电路,培养学生的工程设计意识,启发学生的创新思想。 全面了解集成电路设计、制造、封装、测试的完整芯片制成技术,提高综合运用微电子技术知识的能力和实践能力。 二、教学大纲(含章节目录):(可附页) 第一章cadence集成电路设计软件介绍 第二章偏置电路设计 第三章基本运放和高性能运放 第四章比较器、振荡器设计 第五章电源系统设计(LDO与DC-DC) 三、教学周历

四、主讲教师简介: 常昌远,男,1961年10月出生,2000年东南大学微电子专业博士毕业,现为东南大学副教授,硕士研究生导师。长期从事微电子和自动控制领域内的教学、科研和指导研究生工作。参加过国家自然科学基金重点项目的研究、并主持与IC设计企业合作的多项横向研究课题。近年来主要从事显示控制芯片和电源管理芯片DC-DC、LDO等产品的开发,在CMOS数字集成电路、模拟集成电路的分析、设计与研发、系统的建模和稳定性设计等方面积累了较丰富的实际工作经验。教学方面,主讲包括与研究方向有关的“半导体功率器件”,“自动控制原理”,CMOS模拟集成电路设计等课程。已在国内核心刊物上发表学术论文20余篇,获国家专利1项。目前在东南大学IC学院负责集成电路设计与MPW项目建

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS 工艺流程。简述CMOS 集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18 指的是什么?简述CMOS 工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx其中,x为4位二进制整数输入信号。y 为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis 到tape out 之间的设计flow ,并列出其中各步使用的tool. 10、简述FPGA 等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS 电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

集成电路作业

1、集成电路制造工艺发展水平的衡量指标是什么? a. 在设计和生产中可达到的最小线宽(或称特征尺寸L)。 b. 所使用的硅晶圆片的直径。 c. DRAM的储存容量。 2、简述电路制造工艺的发展趋势。 a.趋势性的变化越来越明显,速度越来越快。 特征尺寸越来越小,电源电压越来越低,芯片尺寸越来越大,布线层数越来越多,单片上的晶体管数越来越多,I/O引线越来越多,时钟速度越来越快 b.集成电路朝着两个方向发展。 c.从另一个角度来说,进入90年代以来,电子信息类产品的开发明显地出现了两个 特点。 3、简述集成电路产业结构经历的三次重大变革。 第一次变革是以加工制造为主导的初级阶段 a.这一时期半导体制造在IC产业中充当主角。 b.这一时期IC设计和半导体工艺密切相关且主要以人工为主。 第二次变革以Foundry和IC设计公司的崛起为标志 a.集成电路产业的一次大分工。 b.IC产业进入了以客户为导向的阶段。 c.EDA工具的发展,使IC设计工程可以独立于生产工艺。 第三次变革以设计、制造、封装和测试四业分离为标志 a.集成电路产业的又一次大分工。 b.IC产业进入了以竞争为导向的高级阶段。 c.系统设计与IP核设计逐渐开始分工。 4、1个门相当于几个晶体管?以门的数量来划分集成电路有哪些分类? 1个门相当于4个晶体管,等效于一个二输入与非门 a. 小规模集成电路(SSI:Small Scale Integrated Circuit)>1-10个门,基本的与非和或非逻辑。 b. 中规模集成电路(MSI: Middle Scale Integrated Circuit)>1万门以内,含有计数器和逻辑功能块等电路。 c. 大规模集成电路(LSI:Large Scale Integrated Circuit)>几万门,含有更多更大逻辑功能块,如4位CPU等。 d. 超大规模集成电路(VLSI:Very Large Scale Integrated Circuit)>近十万门,如64位CPU等电路。 e. 特大规模集成电路(ULSI:Ultra Large Scale Integrated Circuit)>几百万门以上,如DSP、CPU等电路。 f. 巨大规模集成电路(GSI:Giga Scale Integrated Circuit)>千万门以上,如SoC等电路。 5、集成电路系统设计需具备哪些要素? 人才、工具、库和资金。

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

集成电路设计实习报告-孙

集成电路版图设计实习报告 学院:电气与控制工程学院 专业班级:微电子科学与工程1101班 姓名:孙召洋 学号:1106080113

一、实验要求: 1. 熟悉Cadence的工作环境。 2. 能够熟练使用Cadence工具设计反相器,与非门等基本电路。 3. 熟记Cadence中的快捷操作。比如说“W”是连线的快捷键。 4. 能够看懂其他人所画的原理图以及仿真结果,并进行分析等。 二、实验步骤: 1、使用用户名和密码登陆入服务器,右击桌面,在弹出菜单中单击open Terminal;在弹出的终端中键入Unix命令icfb&然后按回车启动Cadence。Cadence启动完成后,关闭提示信息。设计项目的建立 2、点击Tools-Library Manager启动设计库管理软件。点击File-New-Library 新建设计库文件。在弹出的菜单项中输入你的设计库的名称,比如My Design,点击OK。选择关联的工艺库文件,点击OK。在弹出的菜单中的Technology Library下拉菜单中选择需要的工艺库,然后单击OK。 3、设计的项目库文件建立完成,然后我们在这个项目库的基础上建立其子项目。点击选择My Design,然后点击File-New-Cell View。输入子项目的名称及子项目的类型,这设计版图之前我们假定先设计原理图:所以我们选择Composer-Schematic,然后点击OK。 4、进入原理图编辑平台,原理图设计,输入器件:点击Instance按键或快捷键I插入器件。查找所需要的器件类型-点击Browse-tsmc35mm-pch5点击Close。更改器件参数,主要是宽和长。点击Hide,在编辑作业面上点击插入刚才设定的器件。如果想改参数器件,点击选择该器件,然后按Q,可以修改参数器件使用同样的方法输入Nmos,工艺库中叫nch5. 点击Wire(narrow)手动连线。完成连线后,输入电源标志和地标志:在analogLib库中选择VDD和GND,输入电源线标示符。接输入输出标示脚:按快捷键P,输入引脚名称in, Direction选择input,点击Hide,并且和输入线连接起来。同理设置输出引脚Out。 5、版图初步建立新的Cell,点击File-New-Cell View 还是建立名称为inv的版图编辑文件,Tool选择Virtuoso版图编辑软件,点击OK,关闭信息提示框。进入版图编辑环境根据之前仿真所得宽长比和反相器inv或与非门NAND的原理图画出反相器inv或与非门NAND的IC版图; 6、完成后使用版图验证系统进行DRC(设计规则检查)。 三、实验设计规则: 1、Linux常用的文件和目录命令: cd //用于切换子目录 pwd//用于显示当前工作子目录 ls//用于列出当前子目录下的所有内容清单 rm//用于删除文件 touch//用于建立文件或是更新文件的修改日期 mkdir//用于建立一个或者几个子目录

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

《集成电路设计实践》教学大纲

《集成电路设计实践》教学大纲 课程编号:MI4121055 课程名称:集成电路设计实践英文名称:Experiments of IC Design 学时:6周学分:4 课程类型:必修课课程性质:专业课 适用专业:集成电路设计与集成系统先修课程:数字集成电路,模拟集成电路 硬件描述语言,集成电路EDA基础 开课学期:第七学期和Y4开课院系:微电子学院 一、课程的教学目标与任务 目标:掌握集成电路设计的Top-Down流程,了解并掌握相关的EDA工具。 任务:以芯片设计为对象,阐述Top-Down的集成电路设计流程,包括系统结构划分、功能的语言描述、仿真、综合、版图设计参数提取与规格检查、静态时序分析等。 通过本课程的训练,使学生对集成电路设计的Top-Down流程有了较完整和深入的认识,能够熟练应用相关的EDA实现工具,培养较好的学习与实践能力。 二、本课程与其它课程的联系和分工 本课程以《数字集成电路》,《模拟集成电路》,《硬件描述语言》,《集成电路EDA 基础》等课程为先修课。 三、课程内容及基本要求 (一) 概论( 6 学时) 具体内容:Top-Down集成电路设计流程、各设计环节的任务与特点、与传统设计流程的区别、对集成电路产品成本和上市时间的影响。 1.基本要求 (1)了解Top-Down集成电路设计流程。 (2)掌握每个设计环节的功能及其任务。 2.重点、难点 重点:Top-Down集成电路设计流程。 难点:Top-Down的设计思想。 3.说明:本部分介绍Top-Down的集成电路设计流程,流程中每个步骤的功能与任务等 内容。

(二)设计规格的制订(6学时) 具体内容:设计对象的功能、特点、使用环境,外围接口时序,工艺实现方法。1.基本要求 (1)掌握功能的定义和特点取舍。 (2)掌握接口的划分和接口时序的制定。 2.重点、难点 重点:设计对象外部接口的划分及时序的确定。 难点:设计对象外部接口时序的确定。 3.说明:这部分内容的学习中,既需要了解芯片设计初期以市场需求为导向的功能需求设计,又需要掌握初期对芯片规格的制定,此外还需要了解规格对选择芯片实现工艺的限制。 (三)系统设计(6学时) 具体内容:系统的模块划分,各个模块需要完成的功能及其接口,模块间的时序要求,设计对IP的需求和各部分的实现策略。 1.基本要求 (1)掌握模块划分的原则。 (2)掌握模块间接口设计和时序设计的方法。 (3)掌握根据功能和时序选择模块实现策略的方法。 2.重点、难点 重点:模块划分的原则, 模块间时序。 难点:模块间时序的确定。 3.说明:了解芯片设计中良好的模块划分原则,掌握模块间接口设计和时序设计的方法,并能够根据不同的模块特点选择合适的实现策略。 (四)代码设计与仿真(6 学时) 具体内容:实现系统各模块的代码的编写风格,调试方法,仿真验证原则与技术,以及优化代码的常用技巧。 1.基本要求 (1)掌握良好的代码风格,优化代码的常用技巧。 (2)熟练掌握代码的仿真、调试、验证方法。 2.重点、难点 重点:代码的仿真、调试和验证,良好的代码风格。 难点:代码的仿真、调试和验证。 3.说明:良好的代码风格对代码的调试、维护等后续工作影响巨大,必须严格遵守;不同代码的描述方式对应不同的电路结构,熟练掌握代码描述方式对电路的优化;熟练掌握代码的仿真和调试。 (五)综合(6 学时) 具体内容:综合过程,综合约束对最终电路的影响,综合结果的输出技术,综合的

《集成电路设计原理》试卷及答案

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5. ( 4 分 ) MOSFET 可 以 分 为 、 、 、 四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 和 ; 作为PMOS 的源极和体端, 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= V ,Y 2= V ,Y 3= V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3

二、画图题:(共12分) =+的电路图,要求使用的1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么?

集成电路设计基础大作业

集成电路测试技术现状及发展综述 摘要:集成电路在现代电子整机中的应用比重已超过25%,测试是分析集成电路缺陷的最好工具,通过测试可以提高集成电路的成品率。通过分析我国集成电路产业现状,论述我国集成电路的设计验证测试、晶圆测试、芯片测试、封装测试等关键测试环节的技术水平,提出进一步发展我国集成电路测试产业的相关建议。 关键词:集成电路;设计验证;晶圆测试;芯片测试;封装测试;发展策略 Abstract :IC proportion in modern electronic machine in more than 25% , the test is the best tool to analyze integrated circuit defects by testing can improve the yield of integrated circuits by analyzing the status on China's integrated circuit industry , discusses the integration of our country ! design verification testing , wafer testing, chip testing , packaging and testing , and other key aspects of the circuit test skills, make recommendations for further development of China's IC testing industry. 前言:集成电路的开发、生产是当今世界的尖端高科技产业,他在现代电子整机中的应用比重已超过25%,是现代信息产业和信息社会的基础,已成为改造和拉动传统产业迈向数字时代的强大引擎和雄厚基石。集成电路测试的能力和水平是保证集成电路性能、质量的关键手段之一,也是集成电路测试产业的重要标志,因此受到很多国家的高度重视[1]。 1 集成电路测试的分类 1.1 验证性测试 在大生产之前就要进行验证性测试,以确保电路设计的正确性,并对电路所有的功能都要进行测试,同时在测试过程中,还要模拟多个环境反复测试,依次列出电路参数的规范。

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

相关文档
相关文档 最新文档