文档库 最新最全的文档下载
当前位置:文档库 › 在线点餐系统实验报告

在线点餐系统实验报告

在线点餐系统实验报告
在线点餐系统实验报告

在线点餐系统实验报告

学号:20111118120034

姓名:高玉杰

指导老师:郭瑾

一、登录

1.首先配置struts.xml,编写登录界面。

2.建立用户登录数据库reggit.accdb。只有一组数据(即一个用户)。

3.建立Login包,放入登录类,连接reggit数据库,提供注册、注销、登录匹配数据库三

个功能。根据登录用户名与数据库中是否存在为准,返回两个值。如果是success,则登录成功,跳转至点餐页面;否则跳转至注册页面。

reggit 数据库(.accdb)具体如下图:

二、点餐

1.建立dishs数据库,提供餐饮选择。dishs数据库如下图:

2.建立dessert类,介绍dishs具体内容。

3.建立dessertVO类,连接数据库,提供购买,用表格形式显示,可直接选择购买。

三、结算(打印订单)

1. 建立orders数据库,提供客户姓名、餐饮名称、购买数量、送餐地址、联系电话等。

2. 建立orders类,同上。

3. 建立ordersVO类,连接数据库,打印订单。

orders数据库如下图:

四、框架

1. java包共三类。

Login包,管理登录;

Reggit包,管理注册、点餐、订单等具体类的实现;

reggitVO包,对应的调用数据库,进行相关操作。

五、实验中遇到的问题

1.dessert数据库建立时,单价一列注为int,却写成字符串,如50/包;

2.配置struts框架时,竟然单词拼写错了;导致跳转不对。

六、不足

1.没法做到每个页面都显示用户名;

2.选购餐品时,每次只能选择一种。可多次选择。

3.很多地方值得细化,代码相对不简练。

七、具体实现步骤如下:

(1)执行Login.jsp 登录页面,如下:

需要先注册后,向数据库reggit 插入数据,该数据库有一对默认值,如果直接登录,输入用户ID不是“20130001”,也会自动跳转(struts框架)至注册页面(reggit.jsp),

执行该页面,如下图:

(2)注册后,或输入正确后,点击登录至dessert.jsp 页面,如下图:

(3)假设选择“YL0012001”后,点击提交,至dessertOnline.jsp 页面,如下图:

输入用户具体详细信息,点击提交,至orderBookQuery.jsp 页面,如下图:

若点击注销,则返回Login.jsp 登录页面。

有些功能比如,可以实现订单的删除,但并未写一个页面用于执行。

八、代码如下:

(1)package Login

LoginAction.java:

package Login;

import com.opensymphony.xwork2.ActionSupport;

import reggitVO.reggitVO;

import java.util.ArrayList;

public class loginAction extends ActionSupport { private String UserID;

private String Upass;

//private reggitVO re;

public String getUserID() {

return UserID;

}

public void setUserID(String userID) {

UserID = userID;

}

public String getUpass() {

return Upass;

}

public void setUpass(String upass) {

Upass = upass;

}

public String execute() throws Exception{

reggitVO re=new reggitVO();

ArrayList a=re.getAllReggit();

int j=0;

for(int i=0;i

if(UserID.equals(a.get(i))){//字符串之间不能用=

j=1;

break;

}

}

if(j==1)

return"success";

else

return"error";

}

}

(2)package reggit

reggit.java

public class reggit {

private String UserID;

private String Upass;

public String getUserID() {

return UserID;

}

public void setUserID(String userID) {

https://www.wendangku.net/doc/5b17672117.html,erID = userID;

}

public String getUpass() {

return Upass;

}

public void setUpass(String upass) {

this.Upass = upass;

}

}

dessert.java:

public class dessert {

private String dishID;

private String dishName;

private int dishPrice;

public String getDishID() {

return dishID;

}

public void setDishID(String dishID) { this.dishID = dishID;

}

public String getDishName() {

return dishName;

}

public void setDishName(String dishName) { this.dishName = dishName;

}

public int getDishPrice() {

return dishPrice;

}

public void setDishPrice(int dishPrice) { this.dishPrice = dishPrice;

}

}

order.java:

public class orders {

private String UserID;

private String dishID;

private int sum;

private String address;

private String phone;

private double sumPrice;

public String getUserID() {

return UserID;

}

public void setUserID(String userID) { UserID = userID;

}

public String getDishID() {

return dishID;

}

public void setDishID(String dishID) { this.dishID = dishID;

}

public int getSum() {

return sum;

}

public void setSum(int sum) {

this.sum = sum;

}

public String getAddress() {

return address;

}

public void setAddress(String address) {

this.address = address;

}

public String getPhone() {

return phone;

}

public void setPhone(String phone) {

this.phone = phone;

}

public double getSumPrice() {

return sumPrice;

}

public void setSumPrice(double sumPrice) {

this.sumPrice = sumPrice;

}

}

(3)package reggitVO

reggitVO.java:

import java.sql.*;

import reggit.reggit;

import java.util.ArrayList;

public class reggitVO {

String DBdriver="sun.jdbc.odbc.JdbcOdbcDriver";

String DBSouse="jdbc:odbc:myjsp";

Connection con;

private reggit re;

public reggit getRe() {

return re;

}

public void setRe(reggit re) {

this.re = re;

}

public reggitVO(){

try{

Class.forName(DBdriver);

con=DriverManager.getConnection(DBSouse);

}catch(Exception e){

System.err.println(e.getMessage());

}

}

public void addReggit(){//注册

try{

PreparedStatement stm=con.prepareStatement("insert into reggit values(?,?)");

stm.setString(1,re.getUserID());

stm.setString(2, re.getUpass());

try{

stm.executeUpdate();

}catch(Exception e){

}

con.close();

}catch(Exception e){

e.printStackTrace();

}

}

public void deleteReggit(String s){//注销

try{

Statement stm=con.createStatement();

stm.executeUpdate("delete from reggit where 用户ID='"+s+"'");

}catch(Exception e){

e.printStackTrace();

}

}

public ArrayList getAllReggit(){//登录验证

ArrayList reggits=new ArrayList();

String UID;

try{

Statement stm=con.createStatement();

ResultSet result=stm.executeQuery("select 用户ID from reggit");

while(result.next()){

UID=result.getString("用户ID");

reggits.add(UID);

}

result.close();

stm.close();

con.close();

}catch(Exception e){

e.printStackTrace();

}

return reggits;

}

}

dessertVO.java:

import java.sql.*;

import reggit.dessert;

import java.util.ArrayList;

public class dessertVO {

//private dessert de;

String DBdriver="sun.jdbc.odbc.JdbcOdbcDriver";

String DBsource="jdbc:odbc:myjsp2";

Connection con;

public dessertVO(){

try{

Class.forName(DBdriver);

con=DriverManager.getConnection(DBsource);

}catch(Exception e){

System.err.print(e.getMessage());

}

}

public ArrayList getAllDessert(){

ArrayList d=new ArrayList();

try{

Statement stm=con.createStatement();

ResultSet re=stm.executeQuery("select * from dishs");

while(re.next()){

String dd=re.getString("餐品ID");

String de=re.getString("名称");

int dpe=re.getInt("单价(元)");

dessert ddd=new dessert();

ddd.setDishID(dd);

ddd.setDishName(de);

ddd.setDishPrice(dpe);

d.add(ddd);

}

re.close();

stm.close();

con.close();

}catch(Exception e){

e.printStackTrace();

}

return d;

}

public int getPrice(String d){

int dpe=0;

try{

Statement stm=con.createStatement();

ResultSet re=stm.executeQuery("select 单价(元)from dishs where 餐品ID='"+d+"'");

dpe=re.getInt("单价(元)");

re.close();

stm.close();

con.close();

}catch(Exception e){

e.printStackTrace();

}

return dpe;

}

}

ordersVO.java:

import java.sql.*;

import reggit.orders;

import java.util.ArrayList;

public class ordersVO {

private orders or;

String DBriver="sun.jdbc.odbc.IdbcOdbcDriver";

String DBsource="jdbc:odbc:myjsp3";

Connection con;

public orders getOr() {

return or;

}

public void setOr(orders or) {

this.or = or;

}

public ordersVO(){

try{

Class.forName(DBriver);

con=DriverManager.getConnection(DBsource);

}catch(Exception e){

System.err.print(e.getMessage());

}

}

public ArrayList getOneOrders(String U){

ArrayList o=new ArrayList();

try{

Statement stm=con.createStatement();

ResultSet re=stm.executeQuery("select * from orders where 用户ID='"+U+"'");//有可能出错

while(re.next()){//可能买不止一种

orders or2=new orders();

or2.setUserID(re.getString("用户ID"));

or2.setDishID(re.getString("餐品ID"));

or2.setSum(re.getInt("数量"));

or2.setAddress(re.getString("送餐地址"));

or2.setPhone(re.getString("联系电话"));

or2.setSumPrice(re.getInt("总价格"));

o.add(or2);

}

re.close();

stm.close();

con.close();

}catch(Exception e){

e.printStackTrace();

}

return o;

}

public void addorder(){

try{

PreparedStatement stm=con.prepareStatement("insert into orders values(?,?,?,?,?,?)");

stm.setString(1,or.getUserID());

stm.setString(2, or.getDishID());

stm.setInt(3, or.getSum());

stm.setString(4, or.getAddress());

stm.setString(5, or.getPhone());

stm.setDouble(6, or.getSumPrice());

try{

stm.executeUpdate();

}catch(Exception e){

}

con.close();

}catch(Exception e){

e.printStackTrace();

}

}

}

(4)Login.jsp:

<%

re2.setRe(re1);

re2.addReggit();

%>

请先注册:      

用户ID:

密    码:


<% String y=request.getParameter("UserID");

if(y==null)

y="";

else

session.setAttribute("yonghuming",y);

%>

注册新用户

reggit.jsp:

你还没有帐号,欢迎注册新用户!

用户ID:

密     码:

name="Upass">


dessert.jsp:

<% String x=(String)session.getAttribute("yonghuming"); %>

欢迎光临本店!!<%=x %>

请选择以下餐饮(选择餐品,点击提交按钮即可):

<%

ArrayList dishs=d1.getAllDessert();

out.print("

");

out.print("

");

out.print("

");

for(int i=0;i

out.println("

");

out.println("

");

out.println("

");

out.println("

");

out.println("

");

out.println("

");

}

out.print("

"+"餐品ID");

out.print("

"+"名称");

out.print("

"+"单价(元)");

out.print("

"+"选择");

out.print("

"+dishs.get(i).getDishID()+""+dishs.get(i).getDishName()+""+dishs.get(i).getDishPrice()+"");%>

value="<%=dishs.get(i).getDishID()%>">

<%out.println("

");

%>

dessertOnline.jsp:

<%

String d=request.getParameter("choose");

%>

<%

orders or=new orders();

Double sd=0.0;

if((request.getParameter("sum")!=null)){

sd=(double)(Integer.parseInt(request.getParameter("sum")))*(double)(des sert.getPrice(d));}

or.setDishID(d);

or.setSumPrice(sd);//不可输入,故用隐藏输入

%>

请输入详细信息:(二、四不用输入)

用  户  ID:

餐  品  ID:

value="<%=d %>">

数        量:

name="sum">

送餐地址:

联系电话:

总  价  格:


orderBookQuery.jsp:

您提交的订单如下:

<%

o.setOr(ord);

o.addorder();

%>

<%

String U=(String)request.getParameter("20130001");

ArrayList or=new ArrayList();

or=o.getOneOrders(U);

%>

<%

for(int i=0;i

out.print("用户 ID"+or.get(i).getUserID()+"
");

out.print("餐品 ID"+or.get(i).getDishID()+"
");

out.print("购买数量"+or.get(i).getSum()+"
");

out.print("送餐地址"+or.get(i).getAddress()+"
");

out.print("联系电话"+or.get(i).getPhone()+"
");

out.print("总价格"+or.get(i).getSumPrice()+"
");

}

%>

点此注销

(5)struts.xml:

"https://www.wendangku.net/doc/5b17672117.html,/dtds/struts-2.0.dtd">

/dessert.jsp

/reggit.jsp

激光雷达探测气溶胶实验报告

南京信息工程大学激光雷达探测气溶胶实验报告 姓名:周标 学号:20121359069 学院:物理与光电工程学院 专业:光信息科学与技术 二〇一四年十二月十二日

摘要:大气气溶胶影响着天气和气候的变化,通过用激光雷达对水平大气中的气溶胶进行连续观测,得到大气气溶胶浓度的高度分布数据,用Klett法反演和斜率法得到了气溶胶消光系数数值并利用MATLAB程序用计算机对所得实验数据快速方便地直接得出出测量结果和图示。 关键词:气溶胶;激光雷达;探测;Klett反演算法;斜率法;消光系数;MATLAB 前言 大气气溶胶是指悬浮在大气中直径为0.001—100μm的液体或固体微粒体系。对流层气溶胶的形成与地球表面的生态环境和人类活动直接相关。地面扬尘、沙尘暴、林火烟灰、花粉与种子、海水溅沫等是对流层气溶胶的自然源,人工源则是由工业、交通、农业、建筑等直接向对流层中排放的气溶胶粒子。同时,对流层大气中许多气态污染物的最终归宿是形成气溶胶粒子,如二氧化硫、氮氧化物、碳氢化合物等通过气粒转化生成气溶胶粒子。这些气溶胶粒子通过吸收和散射太阳辐射以及地球的长波辐射而影响着地球大气系统的辐射收支,它作为凝结核参与云的形成,从而对局地、区域乃至全球的气候有着重要的影响。对流层气溶胶粒子对激光的吸收和散射作用使它成为激光大气传输的重要消光因子。 激光雷达为大气气溶胶探测研究提供了有力的工具。数十年来,激光技术的不断发展为激光雷达大气气溶胶探测提供了所需要的光源。另一方面,信号探测和数据采集及其控制技术的发展使激光雷达在大气气溶胶的探测高度、空间分辨率、时间上的连续监测和测量精度等方面具有全面的优势,是其它探测手段不能比拟的。 本文介绍该激光雷达的总体结构、技术参数及其工作原理,同时给出了大气气溶胶的垂直消光系数廓线以及典型测量结果的分析和讨论。 1,研究的目的 大气中,尘埃、烟雾、云团等气溶胶粒子对大气的化学过程、辐射平衡、气候变化乃至人们的日常生活都有着非常重要的影响。因此,对大气气溶胶粒子的光学特性的探测研究一直是大气科学、气象探测和环境保护的一项重要任务。 近年来,中国经济的飞速发展已受到全世界的关注。然而,这种快速的经济增长也伴随着社会体系的变革,高度的工业化和城市化造成许多气溶胶粒子和温室气体被排放到大气,带来了一系列的环境问题,对可持续发展有着严重的负面影响,同时对人们的日常生活和身体健康存在着严重的威胁。如何获取环境变化的第一手资料,准确地提供大气物性及其变化

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

雷达技术实验报告

雷达技术实验报告 雷达技术实验报告 专业班级: 姓名: 学号:

一、实验内容及步骤 1.产生仿真发射信号:雷达发射调频脉冲信号,IQ两路; 2.观察信号的波形,及在时域和频域的包络、相位; 3.产生回波数据:设目标距离为R=0、5000m; 4.建立匹配滤波器,对回波进行匹配滤波; 5.分析滤波之后的结果。 二、实验环境 matlab 三、实验参数 脉冲宽度 T=10e-6; 信号带宽 B=30e6; 调频率γ=B/T; 采样频率 Fs=2*B; 采样周期 Ts=1/Fs; 采样点数 N=T/Ts; 匹配滤波器h(t)=S t*(-t) 时域卷积conv ,频域相乘fft, t=linspace(T1,T2,N); 四、实验原理 1、匹配滤波器原理: 在输入为确知加白噪声的情况下,所得输出信噪比最大的线性滤波器就是匹配滤波器,设一线性滤波器的输入信号为) x: (t t x+ = t s n )( )( )(t 其中:)(t s为确知信号,)(t n为均值为零的平稳白噪声,其功率谱密度为 No。 2/

设线性滤波器系统的冲击响应为)(t h ,其频率响应为)(ωH ,其输出响应: )()()(t n t s t y o o += 输入信号能量: ∞<=?∞ ∞-dt t s s E )()(2 输入、输出信号频谱函数: dt e t s S t j ?∞ ∞--=ωω)()( )()()(ωωωS H S o = ωωωπωω d e S H t s t j o ?∞ -= )()(21)( 输出噪声的平均功率: ωωωπωωπd P H d P t n E n n o o ??∞∞ -∞∞-== )()(21)(21)]([22 ) ()()(21 )()(21 2 2 ωωωπ ωωπ ω ωd P H d e S H S N R n t j o o ? ? ∞ ∞ -∞ ∞-= 利用Schwarz 不等式得: ωωωπd P S S N R n o ? ∞ ∞ -≤) () (21 2 上式取等号时,滤波器输出功率信噪比o SNR 最大取等号条件: o t j n e P S H ωωωαω-=) ()()(* 当滤波器输入功率谱密度是2/)(o n N P =ω的白噪声时,MF 的系统函数为: ,)()(*o t j e kS H ωωω-=o N k α2= k 为常数1,)(*ωS 为输入函数频谱的复共轭,)()(*ωω-=S S ,也是滤波器的传输函数 )(ωH 。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

dsp实验报告

DSP 实验课大作业实验报告 题目:在DSP 上实现线性调频信号的脉冲压缩,动目标显示和动目标检测 (一)实验目的: (1)了解线性调频信号的脉冲压缩、动目标显示和动目标检测的原理,及其DSP 实现的整个流程; (2)掌握C 语言与汇编语言混合编程的基本方法。 (3)使用MATLAB 进行性能仿真,并将DSP 的处理结果与MATLAB 的仿真结果进行比较。 (二)实验内容: 1. MATLAB 仿真 设定信号带宽为B= 62*10,脉宽-6=42.0*10τ,采样频率为62*10Fs =,脉冲重复周期为-4T=2.4*10,用MATLAB 产生16个脉冲的线性调频信号,每个脉冲包含三个目标,速度和距离如下表: 对回波信号进行脉冲压缩,MTI ,MTD 。并且将回波数据和频域脉压系数保存供DSP 使用。 2.DSP 实现 在Visual Dsp 中,经MATLAB 保存的回波数据和脉压系数进行脉压,MTI 和MTD 。 (三)实验原理 1.脉冲压缩原理 在雷达系统中,人们一直希望提高雷达的距离分辨力,而距离分辨力定义为:22c c R B τ?==。其中,τ表示脉冲时宽,B 表示脉冲带宽。从上式中我们可以看

出高的雷达分辨率要求时宽τ小,而要求带宽B大。但是时宽τ越小雷达的平均发射功率就会很小,这样就大大降低了雷达的作用距离。因此雷达作用距离和雷达分辨力这两个重要的指标变得矛盾起来。然而通过脉冲压缩技术就可以解决这个矛盾。脉冲压缩技术能够保持雷达拥有较高平均发射功率的同时获得良好的距离分辨力。 在本实验中,雷达发射波形采用线性调频脉冲信号(LFM),其中频率与时延成正比关系,因此我们就可以将信号通过一个滤波器,该滤波器满足频率与时延成反比关系。那么输入信号的低频分量就会得到一个较大的时延,而输入信号的高频分量就会得到一个较小的时延,中频分量就会按比例获得相应的时延,信号就被压缩成脉冲宽度为1/B的窄脉冲。 从以上原理我们可以看出,通过使用一个与输入信号时延频率特性规律相反的滤波器我们可以实现脉冲压缩,即该滤波器的相频特性与发射信号时共轭匹配的。所以说脉冲压缩滤波器就是一个匹配滤波器。从而我们可以在时域和频域两个方向进行脉冲压缩。 滤波器的输出() h n= y n为输入信号() x n与匹配滤波器的系统函数() *(1) y n x n s N n =--。转换到频域就是--卷积的结果:* ()()*(1) s N n =。因此我们可以将输入信号和系统函数分别转化到频域:Y k X k H k ()()( Y k,然后将结果再转化到时域, h n H k →,进行频域相乘得() ()() x t X k →,()() 就可以得到滤波器输出:()() →。我们可用FFT和IFFT来实现作用域的 Y k y n 转换。原理图如下: 图1.脉冲压缩原理框图 2.MTI原理 动目标显示(MTI)技术是用来抑制各种杂波,来实现检测或者显示运动目标的技术。利用它可以抑制固定目标的信号,显示运动目标的信号。以线性调频

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

雷达测速试验报告

雷达测距实验报告 1. 实验目的和任务 1.1 实验目的 本次实验目的是掌握雷达带宽同目标距离分辨率的关系,通过演示实验了解雷达测距基本原理,通过实际操作掌握相关仪器仪表使用方法,了解雷达系统信号测量目标距离的软硬件条件及具体实现方法。 1.2 实验任务 本次实验任务如下: (1)搭建实验环境; (2)获得发射信号作为匹配滤波的参考信号; (3)获得多个地面角反射器的回波数据,测量其各自位置,评估正确性; (4)获得无地面角发射器的回波数据,与(3)形成对比,并进行分析。 2. 实验场地和设备 2.1 实验场地和环境条件 本次实验计划在雁栖湖西校区操场进行,环境温度25℃,湿度40%。 实验场地如上图所示,除角反射器以外,地面上还有足球门、石块以及操场上运动的人等比较明显的目标。

2.2 实验设备 实验所需的主要仪器设备如下: (1) 矢量信号源SMBV100A ; (2) 信号分析仪FSV4; (3) S 波段标准喇叭天线; (4) 角反射器 (5) 笔记本电脑 2.3 设备安装与连接 设备连接关系图如下: 雷达波形文件雷达回波数据 时钟同步 计算机终端 SMBV100A 矢量信号源 FSV4信号分析仪 角反射器 交换机 图1 实验设备连接示意图 其中:蓝色连接线表示射频电缆,灰色连接线表示网线。 3. 实验步骤 3.1 实验条件验证 检查仪器工作是否正常,实验环境是否合适。 3.2 获取参考信号 1. 调节信号源参数,生成线性调频信号,作为匹配滤波的参考信号,然后通过射频电缆将信号源与频谱仪相连,利用频谱仪的A/D 对线性调频信号采样,并通过网线将数据传输给计算机,并保存为“b1.dat ”。参考信号的主要参数如下所示:

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

温度测量控制系统的设计与制作实验报告(汇编)

北京电子科技学院 课程设计报告 ( 2010 – 2011年度第一学期) 名称:模拟电子技术课程设计 题目:温度测量控制系统的设计与制作 学号: 学生姓名: 指导教师: 成绩: 日期:2010年11月17日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、总体设计思想 (3) 四、系统框图及简要说明 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (5) 七、仿真结果 (8) 八、实测结果分析 (9) 九、心得体会 (9) 附录I:元器件清单 (11) 附录II:multisim仿真图 (11) 附录III:参考文献 (11)

一、电子技术课程设计的目的与要求 (一)电子技术课程设计的目的 课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 (二)电子技术课程设计的要求 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 (一)课程设计名称 设计题目:温度测量控制系统的设计与制作 (二)课程设计要求 1、设计任务 要求设计制作一个可以测量温度的测量控制系统,测量温度范围:室温0~50℃,测量精度±1℃。 2、技术指标及要求: (1)当温度在室温0℃~50℃之间变化时,系统输出端1相应在0~5V之间变化。 (2)当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。 输出端1电压小于3V并大于2V时,输出端2保持不变。 三、总体设计思想 使用温度传感器完成系统设计中将实现温度信号转化为电压信号这一要求,该器件具有良好的线性和互换性,测量精度高,并具有消除电源波动的特性。因此,我们可以利用它的这些特性,实现从温度到电流的转化;但是,又考虑到温度传感器应用在电路中后,相当于电流源的作用,产生的是电流信号,所以,应用一个接地电阻使电流信号在传输过程中转化为电压信号。接下来应该是对产生电压信号的传输与调整,这里要用到电压跟随器、加减运算电路,这些电路的实现都离不开集成运放对信号进行运算以及电位器对电压调节,所以选用了集成运放LM324和电位器;最后为实现技术指标(当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。输出端1电压小于3V并大于2V时,输出端2保持不变。)中的要求,选用了555定时器LM555CM。 通过以上分析,电路的总体设计思想就明确了,即我们使用温度传感器AD590将温度转化成电压信号,然后通过一系列的集成运放电路,使表示温度的电压放大,从而线性地落在0~5V这个区间里。最后通过一个555设计的电路实现当输出电压在2与3V这两点上实现输出高低电平的变化。

微波遥感实验报告

实验一:SAR图像下载与认识 一:实验目的 1掌握SAR图像的下载方法; 2了解不同地物在图像上的特性; 二、实验要求 1掌握雷达图像的成像原理与地物特性 2数据说明 3本实验采用Sentinel-1卫星拍摄于2014年12月5日的天山山脉的遥感影像三、实验步骤 打开地理空间数据云网站; 图1 找到Sentinel-1卫星下载有效数据; 图2

在ERDAS中打开影像; 图3 分析地物在影像上的特性; 1雷达图像的成像机理 雷达图像的获取系统不同于光学影像获取系统,它是采用有源主动式工作方法,其本质是一个距离测量系统雷达图像.上的信息是地物目标对雷达波束的反应,而且主要是目标后向散射形成的图像信息,以及朝向雷达天线那部分被散射的电磁波所形成的图像信息由于地物目标所处的位置地物结构表面形态和介电性能等不同,对雷达波束的反应是不一样的同时不同雷达波段极化方式入射角也会使地物产生不同的反应,使其图像具有近距离压缩透视收缩叠掩阴影和地面起伏引起的影像移位等现象,因此,在图像.上形成不同的色调纹理和图案,与中心投影的光学影像有很大的差别。 2雷达图像的信息特点 地物目标对雷达波束的反应是散射(或反射)穿透和吸收r种情况并存,波长不同,对地物的穿透性是不一样的;地物目标的类型本身的结构表面的粗糙度和介电性能不同,则会对电磁波的穿透反射(或散射)和吸收带来不同程度的效应同时,入射雷达波束和地物的相对方向也有关系,在一定方向的条件下,地物目标可以产生强回波,在另一方向,回波则可能很弱或无回波例如平行于飞行方向的铁丝网(电力线),会产生强回波,垂直于飞行方向回波则很弱或消失因此,在雷达图像解译时,尽可能采用多侧视方向的图像 3目视解译 就本实验的雷达图像而言,主要有以下几种地物; 雷达波束的穿透性对冰雪覆盖区地物的判读有着独特的优势例如雪上被覆盖区域,在光学影像上很难辨清究竟是雪,还是湖泊,在雷达图像上则表现极为清晰对于雪山区域冰斗湖碛尾湖的判断,应采用多侧视方向,避免将阴影误判为湖泊。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

雷达原理实验报告(哈工程)

实验报告 实验课程名称:雷达原理姓名:班级:电子信息工程4班学号: 注:1、每个实验中各项成绩按照5分制评定,实验成绩为各项总和 2、平均成绩取各项实验平均成绩 3、折合成绩按照教学大纲要求的百分比进行折合 2017年5 月

雷达信号波形分析实验报告 2017年4月5日班级电子信息工程4班姓名评分 一、实验目的要求 1. 了解雷达常用信号的形式。 2. 学会用仿真软件分析信号的特性。 3.了解雷达常用信号的频谱特点和模糊函数。 二、实验原理 为了测定目标的距离,雷达准确测量从电磁波发射时刻到接收到回波时刻的延迟时间,这个延迟时间是电磁波从发射机到目标,再由目标返回雷达接收机的时间。根据电磁波的传播速度,可以确定目标的距离为:S=CT/2 其中S:目标距离;T:电磁波从雷达到目标的往返传播时间;C:光速。 三、实验参数设置 载频范围:0.5MHz 脉冲重复周期:250us 脉冲宽度:10us 幅度:1V 线性调频信号 载频范围:90MHz 脉冲重复周期:250us 脉冲宽度:10us 信号带宽:14 MHz 幅度:1V 四、实验仿真波形

0.5 1 1.5 2 x 10 -3 时间/s 幅度/v 脉冲 1.03561.03571.03581.0359 x 10 -3时间/s 幅度/v 连续波 0.5 1 1.5 2 x 10 -3 时间/s 幅度/v 脉冲调制 -4-2 024 x 10 7 01 24 频率/MHz 幅度/d B 脉冲频谱图 -4 -2 024 x 10 7 05 104 频率/MHz 幅度/d B 连续波频谱图 -4 -2 024 x 10 7 01 24 频率/MHz 幅度/d B 脉冲调制频谱图 0.5 1 1.5 2 x 10 -3 -101时间/s 幅度/v 脉冲 8.262 8.26258.263x 10 -4 -1 01时间/s 幅度/v 连续波 0.5 1 1.5 2 x 10 -3 -101时间/s 幅度/v 脉冲调制 -4-2 024x 10 7 02 44 频率/MHz 幅度/d B 脉冲频谱图 -4 -2 024x 10 7 05 104 频率/MHz 幅度/d B 连续波频谱图 -4 -2 024x 10 7 01 24 频率/MHz 幅度/d B 脉冲调制频谱图 02004006008001000 0500100015002000

相关文档