文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础实验

电子技术基础实验

电子技术基础实验
电子技术基础实验

电子技术基础实验报告

电子技术实验报告学号: 2220 姓名:刘娟 专业:教育技术学 实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: — 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 实验前校准示波器,检查信号源。 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 ~ 表3-1 … 输入端接入f=1KHz、V i=20mV 的正弦信号。 分别测出电阻R1两端对地信 号电压V i 及V i ′按下式计算 出输入电阻R i : 测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下 式计算出输出电阻R ; 将测量数据及实验结果填入表3-2中。 V i (mV)Vi′(mV)R i ()V ∞ (V)V (V)R () 调整 R P2测量 V C (V)Ve(V)Vb(V)Vb1(V)

[ 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 调节R P2使 输出电压波形不失 真且幅值 为最大(这 时的电压 放大倍数 最大), 测量此时 的静态工作点V c 、V B 、V b1和V O 。 表 3-4 ` 五、实验报告 1、分析输 入电阻 和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 、 阻值 波 形 何种失真 正常 不失真 R P2减小 饱和失真 R P2增大 ? 截止失真 V b1 (V) V C (V) V B (V) V O (V)

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

模拟电子技术基础实验2010-2011第一学期试题(实验)

1.按图接线,调节电位器R P ,使V C =6.4V 左右。输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。分别测量不接负载时和负载电阻为5.1K Ω时的V i 和V 0,计算电压放大倍数,得出负载电阻对放大倍数的影响。 1、2、3题图 2.按图接线。(1)调节电位器R P ,使V C =6.4V 左右。测量静态工作时的V C 、V B 、V E 以及其它需要的数值,计算静态工作点。(2)负载电阻取R L =5.1K Ω,输入f=1KHz 的正弦信号, 幅度以保证输出波形不失真为准。测量V i 和V 0,计算电压放大倍数。 3.按图接线,调节电位器R P ,使V C =6.4V 左右。负载电阻取R L =5.1K Ω,输入f=1KHz 的正弦信号, 幅度以保证输出波形不失真为准。测量V i 和V 0。然后把R C 改成2K Ω,再测量V i 和V 0,分别计算电压放大倍数,得出R C 对放大倍数的影响。 4.按图接线。(1) 调节电位器R P ,使电路静态工作点合适,测量静态工作时的V C 、V B 、V E ;(2)输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。测量V s 、 V i 和V 0,计算电压放大倍数A V 和A VS ,并总结射极输出器的特点。 4、5、6题图 5.按图接线。调节电位器R P ,使电路静态工作点合适,输入f=1KHz 的正弦波信号, 幅度以 Vs Vi

保证输出波形不失真为准。测量V s 、 V i ,计算输入电阻R i (R S =5.1K )。 6.按图接线。调节电位器R P ,使电路静态工作点合适,输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。测量空载时的输出电压V 0 和加负载时的输出电压V L (R L =2.2K ),计算输出电阻R o 7、按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 8、 按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 9、按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 10、按图接线。在输入端输入直流电压,测量对

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

模拟电子技术基础实验

模拟电子技术基础实验 实验一常用电子仪器的使用 实验二常用电子元件的识别与检测 实验三放大器静态工作点和电压放大倍数的测量实验四放大器输入、输出电阻和频率特性的测量实验五射极跟随器 实验六负反馈放大电路 实验七差动放大电路

实验一常用电子仪器的使用 一、实验目的 1、了解示波器的工作原理,初步掌握用示波器观察信号波形和测量波形参数的方法。 2、了解低频信号发生器和低频毫伏表的工作原理,初步学会正确使用这两种基本仪器。 二、实验仪器及器材 双踪示波器低频信号发生器低频毫伏表 三、实验原理 示波器、信号发生器和低频毫伏表是测量、调试电子线路的基本常用仪器,几乎每次实验都要用到这些仪器,能够熟练地、正确地使用这些仪器,是做好电子线路实验的保证。下面分别介绍这些仪器的一般工作原理和使用方法。 示波器及其应用 示波器是一种可以定量观测电信号波形的电子仪器。由于它能够在屏幕上直接显示电信号的波形,因此人们形象地称之为“示波器”。如果我们将普通示波器的结构和功能稍加扩展,便可以方便地组成晶体图示仪、扫频仪和各种雷达设备等。若借助于相应的转换器,它还可以用来观测各种非电量,如温度、压力、流量、生物信号(能够转换成电信号的各种模拟量)等。 示波器的种类繁多,分类方法也各不相同。如按照示波管的不同来分,示波器可分为单线示波器和双线示波器;按照其功能不同来分,示波器又可分为通用示波器和专用示波器两大类;按显示方式不同也可分为单踪示波器、双踪示波器和多踪示波器。此外,示波器还有存贮示波器和非存贮示波器之分。现代的示波器正朝着高宽带、高精度、高性能价格比和多通道、多功能、智能化的方向发展。下面,以通用示波器为例介绍示波器的一般工作原理和使用方法。 1.示波器的基本组成 虽然示波器的种类很多,但无论哪种类型的示波器,一般都包含有示波管、垂直(Y轴)放大系统、水平(X轴)放大系统、扫描发生器、触发同步电路和直流电源等六大基本组成部分,其基本结构方框图如图所示。

数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验 一、实验目的:1、熟悉面包板的结构 2、进一步掌握与非门、或非门、异或门的功能 3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块 74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台 三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1 面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图: 74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。 VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y 1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D 1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND 四、实验内容与步骤: 1、测试面包板的内部结构情况: 用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能: 1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1 其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。 5)分析测得的结果是否符合“与非”的关系。 *3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式 2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2 3注意:带*号的为选做内容。 五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器: 三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。 四、实验结果分析:将实验中出现的现象、结果进 行分析。 五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

模拟电子技术课程设计实验报告

v .. . .. 福州大学物信学院 《模拟电子技术课程设计》 设计报告 设计题目:音响放大器设计 组别: 姓名: 学号: 同组姓名: 专业:微电子学 年级:11级 指导老师:屈艾文 实验时间:

一、设计任务 1、音响放大器,具有话筒扩音、音调控制、音量控制、卡拉ok伴唱。 音响放大器主要由话音放大器、混合前置放大器、音调控制器和功率放大器构成。设计前,必须了解集成功率放大器内部电路工作原理,掌握其外围电路的设计与主要性能参数的测试方法;掌握音响放大器的设计方法与电子线路系统的的装调技术。 2、学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基 本方法、设计步骤,培养综合设计与调试能力。 3、培养实践技能,提高分析和解决实际问题的能力。 二、设计指标 ①额定功率:P。>=0.3W ②负载阻抗:R=8Ω ③频率范围:125Hz~8kHz ④话放级输入灵敏度:5mV ⑤输入阻抗:R>>1kΩ 除此之外音调控制特性1kHz处增益为0dB,125Hz和8kHz处有+12dB、-12dB 的调节范围,Avl=Avh>=20dB。 三、所用仪器和元器件清单 (一)所用仪器 1、F05A型数字合成函数信号发生器/计数器 2、YB4320G示波器

序号名称型号数量序号名称型号数量可供元件清单可供元件清单 1 运算 放大器LM324 芯片 一个 5 电解 电容 0.1uF 1支 1uF 2支 10uF 13支 电阻(Ω)10K 9支220uF 1支47K 3支音响放大电路测试元器件 75K 1支 6 话筒 1~10kΩ 1支 3 电位器 10K 3支7 咪头1支100K 1支8 扬声器0.5W/8. 2Ω 1支

电子技术基础实验指导书

实验一电子测量与元件测试 一、实验目的 1、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 2、熟悉常用电子元器件基础知识 3、掌握使用万用表辨别常用元器件的方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 1、示波器的使用 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较底时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被测信号的波形不在X轴方向左右移动,这样的现象仍属于稳定显示。

相关文档
相关文档 最新文档