文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术试题库及答案-期末考试秘籍word版本

数字电子技术试题库及答案-期末考试秘籍word版本

数字电子技术试题库及答案-期末考试秘籍word版本
数字电子技术试题库及答案-期末考试秘籍word版本

数字电子技术期末试题库

一、选择题:

A组:

1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的

A、00100

B、10100

C、11011

D、11110

2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和

C、逻辑函数的最简或与式

D、逻辑函数的最大项之和

3、在下列逻辑电路中,不是组合逻辑电路的是(D)

A、译码器

B、编码器

C、全加器

D、寄存器

4、下列触发器中没有约束条件的是(D)

A、基本RS触发器

B、主从RS触发器

C、同步RS触发器

D、边沿D触发器

5、555定时器不可以组成D。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.J K触发器

6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。

A、有

B、无

C、允许

D、不允许

7、(D)触发器可以构成移位寄存器。

A、基本RS触发器

B、主从RS触发器

C、同步RS触发器

D、边沿D触发器

8、速度最快的A/D转换器是(A)电路

A、并行比较型

B、串行比较型

C、并-串行比较型

D、逐次比较型

9、某触发器的状态转换图如图所示,该触发器应是( C )

A. J-K触发器

B. R-S触发器

C. D触发器

D. T触发器

10.(电子专业作)对于VHDL以下几种说法

错误的是(A )

A VHDL程序中是区分大小写的。

B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成

C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚

D 结构体是描述元件内部的结构和逻辑功能

B组:

1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A )

A.二进制

B.八进制

C. 十进制

D.十六进制

2、十进制数6在8421BCD码中表示为-------------------------------------------------( B )

A.0101

B.0110

C. 0111

D. 1000

3、在图1所示电路中,使

__

A

Y 的电路是---------------------------------------------( A )

A. ○1

B. ○2

C. ○3

D. ○4

4、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D )

A. 单稳态触发器

B. 施密特触发器

C. D触发器

D. 多谐振荡器

5、多谐振荡器有-------------------------------------------------------------------------------( C )

A. 两个稳态

B. 一个稳态

C. 没有稳态

D. 不能确定

6、已知输入A、B和输出Y的波形如下图所示,则对应的逻辑门电路是-------( D )

A. 与门

B. 与非门

C. 或非门

D. 异或门

7、下列电路中属于时序逻辑电路的是------------------------------------------------------( B )

A. 编码器

B. 计数器

C. 译码器

D. 数据选择器

8、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A )

A. 延迟

B. 超前

C. 突变

D. 放大

9、下列哪种触发器可以方便地将所加数据存入触发器,适用于数据存储类型的

时序电路--------------------------------------------------------------------------------( C )

A. RS触发器

B. JK触发器

C. D触发器

D. T触发器

10、电路和波形如下图,正确输出的波形是-----------------------------------------------( A )

A. ○1

B. ○2

C. ○3

D. ○4

C组:

1.十进制数25用8421BCD码表示为 A 。

A.11001

B.0010 0101

C.100101

D.10001

2. 当逻辑函数有n个变量时,共有 D 个变量取值组合?

A. n

B. 2n

C. n2

D. 2n

3.在何种输入情况下,“与非”运算的结果是逻辑0。D

A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

4.存储8位二进制信息要D个触发器。

A.2

B.3

C.4

D.8

5.欲使J K 触发器按Q n +1=Q n 工作,可使J K 触发器的输入端 A 。 A.J =K =1 B.J =0,K =1 C.J =0,K =0 D.J =1,K =0 6.多谐振荡器可产生 B 。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波 7.在下列逻辑电路中,不是组合逻辑电路的是 A 。 A.译码器 B.编码器 C.全加器 D.寄存器 8.八路数据分配器,其地址输入端有 B 个。 A.2 B.3 C.4 D.8

9.8位移位寄存器,串行输入时经 D 个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8 10.一个无符号8位数字量输入的DAC ,其分辨率为 D 位。 A.1 B.3 C.4 D.8

D 组:

1、下列四个数中,最大的数是( B ) A 、(AF )16 B 、(001010000010)8421BCD C 、(10100000)2 D 、(198)10

2、下列关于异或运算的式子中,不正确的是( B ) A 、A ⊕A=0 B 、1=⊕A A

C 、A ⊕0=A

D 、A ⊕1=A

3、下列门电路属于双极型的是( A ) A 、OC 门 B 、PMOS C 、NMOS D 、CMOS

4、对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为( A ) A 、RS=X0 B 、RS=0X C 、RS=X1 D 、RS=1X

5、如图所示的电路,输出F 的状态是( D )

A 、A

B 、A

C 、1

D 、0

6、AB+A 在四变量卡诺图中有( B )个小格是“1”。

A 、13

B 、12

C 、6

D 、5 7、二输入与非门当输入变化为( A )时,输出可能有竞争冒险。

A. 01→10

B. 00→10

C. 10→11

D. 11→01

8、N 个触发器可以构成能寄存( B )位二进制数码的寄存器。 A.N -1 B.N C.N +1 D.2N

9、以下各电路中,( B )可以产生脉冲定时。 A. 多谐振荡器 B.单稳态触发器

C.施密特触发器

D.石英晶体多谐振荡器

10、输入至少( B )位数字量的D/A 转换器分辨率可达千分之一。

A. 9

B. 10

C. 11

D. 12

E 组:

1、下列编码中,属可靠性编码的是________。

A .格雷码 B. 余3码 C. 8421BCD 码 D. 2421BCD 码

2、下列电路中,不属于时序逻辑电路的是________。

A .计数器

B .加法器

C .寄存器

D .M 序列信号发生器

3、下列函数Y=F (A,B,C,D )中,是最小项表达式形式的是________。

A .Y=A+BC

B .Y=ABCD+AC

C .

D C B A D C B A Y +?= D .BCD A CD B A Y +?= 4、要实现n n Q Q =+1,JK 触发器的J 、K 取值应为________。

A .J=0,K=0

B .J=0,K=1

C .J=1,K=0

D .J=1,K=1

5、用555定时器组成施密特触发器,外接电源VCC=12V 电压,输入控制端CO 外接10V 电压时,回差电压为________。

A. 4V

B. 5V

C. 8V

D. 10V

二、 判断题: A 组:

1、MP 3音乐播放器含有D/A 转换器,因为要将存储器中的数字信号转换成优美动听的模拟信号——音乐。( √ )

2、真值表、函数式、逻辑图、卡诺图和时序图,它们各具有特点又相互关联。( √ )

3、有冒险必然存在竞争,有竞争就一定引起冒险。( × )

4、时序逻辑电路的特点是:电路任一时刻的输出状态与同一时刻的输入信号有关,与原有状态没有任何的联系( × )

5、(电子专业作)FPGA 是现场可编程门阵列,属于低密度可编程器件。( × ) B 组:

1、时序电路无记忆功能,组合逻辑电路有记忆功能。--------------------------------------( × )

2、在普通编码器中,任何时刻都只允许输入二个编码信号,否则输出将发生混乱。( × )

3、基本的RS 触发器是由二个与非门组成。----------------------------------------------------( √ )

4、A/D 转换器是将数字量转换为模拟量。-----------------------------------------------------( × )

5、逻辑电路如下图所示,只有当A=0,B=0时Y=0才成立。----------------------------( √ )

C 组:

1.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。( × ) 2.三态门的三种状态分别为:高电平、低电平、不高不低的电压。( × )

3.D 触发器的特性方程为Q n +1=D ,与Q n 无关,所以它没有记忆功能。(× )

4.编码与译码是互逆的过程。( √ )

5.同步时序电路具有统一的时钟CP 控制。( √ )

D 组:

1、时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( × )

2、D 触发器的特性方程为Q n +1=D ,与Q n 无关,所以它没有记忆功能。( × )

3、用数据选择器可实现时序逻辑电路。( × )

4、16位输入的二进制编码器,其输出端有4位。(√)

5、时序电路不含有记忆功能的器件。( × )

三、 填空题:

A 组:

1、数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路、

时序逻辑电路 。

2、 三态门的三种状态是指___0____、___1___、____高阻___。

3、实现A/D 转换的四个主要步骤是___采样___、___保持__、___量化__、___编码____。 4、将十进制转换为二进制数、八进制数、十六进制数:

(25.6875D )=( B )=( O )

5、寄存器分为____基本寄存器___________和_______移位寄存器_______两种。

6、半导体数码显示器的内部接法有两种形式:共 阳极 接法和

共 阴极 接法。

7、与下图真值表相对应的逻辑门应是____与门__________

输入 A B 输出 F

0 0 0 0 1 0 1 0

8、已知L=A C+B C,则L的反函数为F=_______。

9、基本RS触发器,若现态为1,S=R=0,则触发状态应为____1___。

10、(电子专业选作)ROM的存储容量为1K×8,则地址码为__10____位,数据线为_____8______位。

B组:

1、请将下列各数按从大到小的顺序依次排列:(246)8;(165)10;(10100111)2;(A4)16 (10100111)2> (246)8 > (165)10> (A4)16

2、逻辑函数有三种表达式:逻辑表达式、真值表、卡诺图。

3、TTL逻辑门电路的典型高电平值是 3.6 V,典型低电平值是0.3 V。

4、数据选择器是一种多个输入单个输出的中等规模器件。

5、OC门能实现“线与”逻辑运算的电路连接,采用总线结构,分时传输数据时,应选用三态门。

6、逻辑表达式为

__

__

B

A

C

A

BC

F+

+

=,它存在0 冒险。

7、时序逻辑电路在某一时刻的状态不仅取决于这一时刻的输入状态,还与电路过去的状态有关。

8、触发器按逻辑功能可以分为RS 、D 、JK 、T 四种触发器。

9、双稳态触发器电路具有两个稳态,并能触发翻转的两大特性。

10、模数转换电路包括采样、保持、量化和编码四个过程。

C组:

1、二进制(1110.101)2转换为十进制数为_____14.625_________。

2、十六进制数(BE.6)16转换为二进制数为________(10111110.011)2___。

3、F=A BCD+A B C+AB C+ABC=Σm(__7,10,11,12,13,14,15_______)。

4、F=AC+B D的最小项表达式为_Σm (1,3,9,10,11,14,15)____________________。5.一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。

6.555定时器的最后数码为555的是T T L产品,为7555的是C M O S产品。

7、TTL与非门的多余输入端悬空时,相当于输入_____高____电平。

8.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路。

9.对于共阳接法的发光二极管数码显示器,应采用低电平驱动

的七段显示译码器。

10、F=AB+C 的对偶函数是_______ F 1=(A+B )·C ______________。

D 组:

1、将(234)8按权展开为 2×82+3×81+4×80 。

2、(10110010.1011)2=( 262.54 )8=( B2.B )16

3、逻辑函数F=A +B+C D 的反函数F = A B (C+D ) 。

4、逻辑函数通常有 真值表 、 代数表达式 、 卡诺图 等描述形式。

5、施密特触发器具有 回差 现象,又称 电压滞后 特性。

6、在数字电路中,按逻辑功能的不同,可以分为 逻辑电路 和 时序电路 。

7、消除冒险现象的方法有 修改逻辑设计 、 吸收法 、 取样法 和 选择可靠编码 。

8、触发器有 2 个稳态,存储8位二进制信息要 8 个触发器。

9、逻辑代数运算的优先顺序为 非 、 与 、 或 。

10、寄存器按照功能不同可分为两类: 移位 寄存器和 数码 寄存器。

E 组:

1、数字信号的特点是在 上和 上都是不连续变化的,其高电平和 低电平常用 和 来表示。

2、请将下列各数按从大到小的顺序依次排列:(123)8;(82)10;(1010100)2;(51)

16: > > > ,以上四个数中最小数的8421BCD 码为( )8421BCD 。

3、除去高、低电平两种输出状态外,三态门的第三态输出称为 状态。

4、在555定时器组成的脉冲电路中,脉冲产生电路有 ,脉冲

整形电路有 、 ,其中 属于双稳态电路。

5、存储容量为4K ×8的SRAM ,有 根地址线,有 根数据线,用其扩展成容量为16K ×16的SRAM 需要 片。

6、实现A/D 转换的四个主要步骤是___ __、___ __、___ __和编码。

四、 综合题 A 组:

1、用代数法化简: C A C B C A AB Y __

______+++=

解:__

__

__

__

__

____

)(C B AB A A C B AB C C A C B AB Y ++=++=+++= B A C B B A +=++=__

__

__

2、 卡诺图化简:

解:__C B Y +=

1AB

C

0111

10

1

1

00

××

11

B

__

C

3、电路如下图所示,已知输入波形,试写出Y 的逻辑表达式并画出输出波形。

解:__

B A Y

4、跟据给定的i u 波形,画出电路的输出0u 。

解:

5、用8选1数据选择器74LS151实现函数。

C B C A B A F ++=

A B A 0A 1A 2D 0

D 1D 2

D 3D 4

D 5

D 6D 7

Q

C

F S

解:(1)将输入变量C 、B 、A 作为8选1数据选择器的地址码A 2、A 1、A 0。

(2)使8选1数据选择器的各数据输入D 0~D 7分别与函数F 的输出值一一相对应。 即:A 2A 1A 0=CBA , D 0=D 7=0 D 1=D 2=D 3=D 4=D 5=D 6=1 则8选1数据选择器的输出Q 便实现了函数C B C A B A F ++=。

A B A 0A 1A 2D 0

D 1D 2

D 3D 4

D 5

D 6D 7

Q

C

F S

6、分析下图所示的时序逻辑电路,设触发器的初态为Q1=Q0=0,试: (1) 写出输出方程,驱动方程,状态方程; (2) 列出状态转换真值表;、 (3) 画出时序图;

(4) 分析电路的逻辑功能。

Q X 0CP Q 1

Z

解:

1. 写出各逻辑方程:

驱动方程: J 0=K 0=1 J 1=K 1=n

Q X 0⊕

将驱动方程代入JK 触发器的特性方程n n n Q K Q J Q

+=+1

,得:

次态方程: n

n Q Q 010=+

n n

n n n n n Q Q X Q Q X Q Q X Q 10101011)()()(⊕⊕=⊕+⊕=+

输出方程: n

n Q Q Z 01=

2. 列出状态表如表所示。

3. 画出状态图及波形图如图解所示。

Q 1Q 0

X/Z

Q X 0CP Q 1

Z

(a ) (b )

4. 逻辑功能分析

由状态图可以很清楚地看出电路状态转换规律及相应输入、输出关系:该电路一共有4个状态00、01、10、11。当X =0时,按照加1规律从00→01→10→11→00循环变化,并每当转换为11状态(最大数)时,输出Z =1。当X =1时,按照减1规律从11→10→01→00→11循环变化。所以该电路是一个可控的四进制计数器,其中Z 是进位信号输出端。

B 组:

1、用公式法化简下列逻辑表达式

(1)(A+B )(A +B ) (2)A+B+C+A B C =A B+A B =1

2、用卡诺图化简下列逻辑表达式

(1)F(A,B,C,D)=∑m(0,1,2,3,5,7)

F=A B+ A

D

3、试画出Q端波形,设初始J=1,Q=0,悬空表示接高电平

4、用74LS138和门电路实现函数F= A B C+A B+B C,并画出逻辑电路图。

F=

6

4

2

1

Y

Y

Y

Y

Y?

?

?

?

5、试设计一个满足下图功能的组合逻辑电路

AB

CD 00 01 11 10

00 1 0 0 0

01 1 1 0 0

11 1 1 0 0

10 1 0 0 0

A B C

L

1.真值表

A B C

L

0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1

1

L=AC+BC

6、分析下图时序电路(设初始状态为0) 1、列出时钟方程和驱动方程 2、列出状态方程 3、列出状态表 4、画出状态图 5、描述电路功能

解:1、时钟方程:CP 1=CP 2=CP 3=CP 驱动方程为:

n Q D 13= n Q D 32= n Q D 21=

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: (1001110111110001)B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

数字电子技术期中考试试卷

一、判断题(10分) 1、若1AC =+C B ,则A+B =1 2、若A+B =A+C ,则B =C 3、=⊕⊕C B A A ⊙B ⊙C 4、n 个变量可组成2n 个最小项,对于变量的任意一组取值必有1=?j i m m (i ≠ j ) 5、一个最简的逻辑式,实现的器件不一定是最少的 6、一个输入端的与非门和一个输入端的或非门的功能是相同的 7、一般TTL 门电路的输出端可以直接相连,实现线与 8、欲将异或门作反相器使用,多余输入端直接接高电平 9、并行加法器采用超前进位的目的是简化电路结构。 10、组合逻辑电路中的竞争冒险现象是由于输入信号经不同路径到达输出端的时延不同而引起的。 二、填空题(20分) 1、十进制数5.625化为十六进制为 ,二进制数为 八进制数为 2、二进制1011000化为8421BCD 码为 ,余3码为 3、逻辑代数的三条重要规则是指____ 、____和____ 4、AB+A C+BC=AB+A C 的对偶式为 。 5、逻辑函数F=A +B+C D 的反函数F = 6、集电极开路门的英文缩写为 门,工作时必须外加 和 。 7、在TTL 三态门、OC 门、与非门和异或门电路中,能实现线与功能的门电路有____、____ 8、TTL 与非门的灌电流负载发生在输出 电平情况下,负载电流越大,则输出电平越 9、消除竟争冒险的方法有 、 、 等 三、化简(每小题5分) 1、用代数化简(1)C B A C B A C B A Y ++= (2)ABC CD C A D AC Y +++= 2、用卡诺图化简(1)D C B A C B A D C B A C B A AC Y ++++= (2)C B B A B A ABC Y ++++= 四、设计一个数值比较器,该电路输入端接收两个二位二进制数A(A=A 2A 1)和B(B=B 2B 1) ,当A>B 时,输出Z 为1,否则Z 为0。(10分) 五、用门电路设计一个代码转换电路,将8421BCD 码转换为余3码,写出逻辑表达式,不必画出电路图。(10分)

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术 期末考试试题

数字电子技术期末考试试题 期末考试试题 课程名称《数字电子技术》适用专业自动化、测控考试时间 ( 120 )分钟 一、填空题(22分每空2分) A,0,A,1,1、 , 。 2、JK触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为态,另一个为态.多谐振荡器两个状态都为 态,施密特触发器两个状态都为态. 4、组合逻辑电路的输出仅仅只与该时刻的有关,而与无 关。 5、某数/模转换器的输入为8位二进制数字信号(~D),输出为D0~25.5V的模拟电压。若数字信70号的最低位是“1”其余各位是“0”,则输出的模拟电压为。 6、一个四选一数据选择器,其地址输入端有个。二、化简题(15分每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1) Y(A,B,C,D)=?m(0,1,2,3,4,5,6,7,13,15) 2)L(A,B,C,D),m(0,13,14,15),d(1,2,3,9,10,11) ,, 利用代数法化简逻辑函数,必须写出化简过程 __________________________________________________ 3) F(A,B,C),AB,ABC,A(B,AB) 三、画图题(10分每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、 四、分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不 正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用 74LS138和适当的与非门实现此电路(20分)

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础期中考试卷

数字电子技术基础期中 考试卷 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

******2014—2015学年下学期 《数字电子技术基础》课程期中考试试卷 考试院系: ******** 考试日期: 2.对于JK 触发器,若K J =,则可完成 触发器的逻辑功能;若K J =,则可完成 触发器的逻辑功能。 3. 逻辑代数又称为布尔代数。最基本的逻辑关系有 、 、 三种。 4.逻辑函数F=A +B+C D 的反函数F = 。 5.逻辑函数F=A B C D +A+B+C+D= 。 6.OC 门称为集电极开路门,多个O C 门输出端并联到一起可实现 功能。 7.七段字符显示器的内部接法有两种形式:共 接法和共 接法。 8.消除竟争冒险的方法有 、 和引入选通脉冲等。 9.逻辑函数有四种常用的表示方法,它们分别是 、 、逻辑函数式和逻辑图 二、选择题(每题1分,共15分) 1.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 2.下列触发器中没有约束条件的是 。 A. 基本RS 触发器 B. 钟控RS 触发器 C. 主从RS 触发器 D. 边沿JK 触发器

3.组合电路设计的结果一般是要得到 。 A. 逻辑电路图 B. 电路的逻辑功能 C. 电路的真值表 D. 逻辑函数式 4. 当逻辑函数有n 个变量时,共有 个变量取值组合 A. n B. 2n C. n 2 D. 2n 5. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.以上三种都是 6.逻辑函数F=)(B A A ⊕⊕ = 。 C.B A ⊕ D. B A ⊕ 7.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 8.对于TT L 与非门闲置输入端的处理,不正确的是 。 A.接电源 B.通过电阻3k Ω接电源 C.接地 D.与有用输入端并联 9.下列表达式中不存在竞争冒险的有 。 =B +A B =A B+B C =AB C +A B =(A +B )A D 10.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 .6 C 11.四选一数据选择器的数据输出Y 与数据输入Xi 和地址码A i 之间的逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 12.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 13.用四选一数据选择器实现函数Y =0101A A A A +,应使 。 =D 2=0,D 1=D 3=1 =D 2=1,D 1=D 3=0 =D 1=0,D 2=D 3=1 =D 1=1,D 2=D 3=0 14.比较两个一位二进制数A 和B ,当A=B 时输出F=1,则F 的表达式是 。 A 、F=A B B 、B A F = C 、B A D 、F=A ⊙B 15.逻辑函数F(A,B,C) = AB+BC+C A 的最小项标准式为 。 A 、F(A,B,C)=∑m(0,2,4) B 、F(A,B,C)=∑m(1,5,6,7) C 、F(A,B,C)=∑m (0,2,3,4) D 、F(A,B,C)=∑m(3,4,6,7)

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数电期中考试试题和答案

数电期中测试题 参考答案 系别 班级 学号 姓名 一、单项选择题(本大题共7小题,每小题2分,共14分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.十进制数25用8421BCD 码表示为(B ) 0101 2.函数B A ABC ABC F //++=的最简与或式是(D ) =A+B B.//C A F += =B+C =B 3.若将一个同或门(输入端为A,B )当作反相器使用,则A 、B 端应(C ) 或B 中有一个接1; 和B 并联使用; C. A 或B 中有一个接0; D.同或门无法转换为反相器 4.符合下面真值表的门电路是(C ) A.与门 B.或门 C.同或门 D.异或门 5.下列代码属于8421BCD 码的是(C )

6.最小项''A BC D 的逻辑相邻最小项是(B ) A .''A B CD B .'''A B C D C .'ABCD D .'AB CD 7.函数F=AB+BC ,使F=1的输入ABC 组合为(D) A .ABC=000 B .ABC=010 C .ABC=101 D .ABC=110 二、填空题(本大题共10小题,每小题2分,共20分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.基本逻辑运算有_______、 、 3种。与、或、非 2.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫_真值表。 3.函数Y=AB+AC 的最小项之和表达式为________。(ABC ABC C AB Y ++=//) 4.3线-8线译码器74LS138处于译码状态时,当输入A 2A 1A 0=001时,输出 /0/7~Y Y =______。 5.能够将1个输入数据,根据需要传送到m 个输出端的任何一个输出端的电路叫_______。数据分配器 6.函数))((////DE C B A F +=的反函数=/F ____________。 ))((////E D C B A F +++= 7.编码的逆过程就是____________。译码 8.若编码器要对有48个对象进行编码,则要求输出二进制代码位数为____________位。6 9.十进制数60和二进制数 相等。111100 10.=+AB A ;=+B A A / ;=++AC C B AB / 。 ( C B AB B A A / ;;++) 三、分析题(本大题共3小题,每小题6分,共18分)

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

相关文档
相关文档 最新文档