文档库 最新最全的文档下载
当前位置:文档库 › 试题.习题- 数字电路与逻辑设计习题及参考答案

试题.习题- 数字电路与逻辑设计习题及参考答案

试题.习题- 数字电路与逻辑设计习题及参考答案
试题.习题- 数字电路与逻辑设计习题及参考答案

一、选择题

1. 以下表达式中符合逻辑运算法则的是 D 。

A.C ·C=C 2

B.1+1=10

C.0<1

D.A+1=1

2. 一位十六进制数可以用 C 位二进制数来表示。

A . 1

B . 2

C . 4

D . 16

3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合?

A. n

B. 2n

C. n 2

D. 2n

4. 逻辑函数的表示方法中具有唯一性的是 A 。

A .真值表 B.表达式 C.逻辑图 D.状态图

5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。

A .(256)10

B .(127)10

C .(128)10

D .(255)10

6.逻辑函数F=B A A ⊕⊕)( = A 。

A.B

B.A

C.B A ⊕

D. B A ⊕

7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。

A .“·”换成“+”,“+”换成“·”

B.原变量换成反变量,反变量换成原变量

C.变量不变

D.常数中“0”换成“1”,“1”换成“0”

8.A+BC= C 。

A .A+

B B.A+

C C.(A+B )(A+C ) D.B+C

9.在何种输入情况下,“与非”运算的结果是逻辑0。 D

A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

10.在何种输入情况下,“或非”运算的结果是逻辑1。 A

A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.

任一

输入为1

11.十进制数25用8421BCD 码表示为 B 。

A .10 101

B .0010 0101

C .100101

D .10101

12.不与十进制数(53.5)10等值的数或代码为 C 。

A .(0101 0011.0101)8421BCD

B .(35.8)16

C .(110101.11)2

D .(65.4)8

13.以下参数不是矩形脉冲信号的参数 D 。

A.周期

B.占空比

C.脉宽

D.扫描期

14.与八进制数(47.3)8等值的数为: B

A. (100111.0101)2

B.(27.6)16

C.(27.3 )16

D. (100111.101)2

15. 常用的BCD码有 D 。

A.奇偶校验码

B.格雷码

C.ASCII码

D.余三码

16.下列式子中,不正确的是(B)

A.A+A=A

B.A A1

⊕=

C.A⊕0=A

D.A⊕1=A

17.下列选项中,______是TTLOC门的逻辑符号。( C )

18.下列选项中,叙述不正确的是( B )

A.接入滤波电容引入是消除竞争冒险的方法之一。

B.引入选通脉冲不能消除竞争冒险。

C.修改逻辑设计,增加冗余项是常用的消除竞争冒险的方法。

D.化简电路,减少逻辑器件数目,不能消除竞争冒险。

19.下列选项中,不能实现Q n+1=n Q。(D)

20.下列选项中,叙述不正确的是(B)

A.任意两个不同的最小项之积,值恒为0。

B.RAM的特点是一旦停电,所存储的内容不会丢失。

C.在逻辑代数中,常用的逻辑运算是与非、或非、与或非、异或等。

D.单向导电特性是半导体二极管最显著的特点。

21. n位二进制计数器的模为(B)

A.n2

B.2n

C.n2+1

D.2n+1

22.下列选项中,______不是单稳态触发器的特点。(A)

A.有一个稳定状态,有两个暂稳状态。

B.暂稳状态维持一段时间后,将自动返回稳定状态。

C.暂稳状态时间的长短与触发脉冲无关,仅决定于电路本身的参数。

D.在外来触发脉冲的作用下,能够由稳定状态翻转到暂稳状态。

23.用四选一数据选择器实现函数Y=1A ·0A +1A ·A 0,应使(D)

A.D 0=D 2=0,D 1=D 3=1

B.D 0=D 2=1,D 1=D 3=0

C.D 0=D 1=0,D 2=D 3=1

D.D 0=D 1=1,D 2=D 3=0

24.在下列逻辑电路中,是时序逻辑电路的有(B)

A.加法器

B.读/写存储器

C.编码器

D.数值比较器

25. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( B ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7)

C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

26.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出0

12Y Y Y ??的值是( C )。

A .111 B. 010 C. 000 D. 101

27.十六路数据选择器的地址输入(选择控制)端有( C )个。

A .16 B.2 C.4 D.8

28. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲

CP 作用下,四位数据的移位过程是( A )。

A. 1011--0110--1100--1000--0000

B. 1011--0101--0010--0001--0000

C. 1011--1100--1101--1110--1111

D. 1011--1010--1001--1000--0111

29.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码

A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111

30. 一只四输入端或非门,使其输出为1的输入变量取值组合有( D )种。

A .15

B .8

C .7

D .1

31. 随机存取存储器具有( A )功能。

A.读/写

B.无读/写

C.只读

D.只写

32.N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。

A.N

B.2N

C.N 2

D.2

N 33.某计数器的状态转换图如下,

其计数的容量为( B )

A . 八 B. 五 C. 四 D. 三

34.已知某触发的特性表如下(A 、B

( C )。

A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q

B Q A Q +=+ D. Q n+1 =

B

35. 有一个4位的D/A 转换器,设它的满刻度输出电压为10V ,当输入数字量为

1101时,输出电压为( A )。

A . 8.125V B.4V C. 6.25V D.9.375V

36.函数F=AB+BC ,使F=1的输入ABC 组合为( D )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110

37.已知某电路的真值表如下,该电路的逻辑表达式为( C )。

A .C Y = B. ABC Y = C .C A

B Y += D .

C C B Y +=

38.四个触发器组成的环行计数器最多有( D )个有效状态。

A.4

B. 6

C. 8

D. 16

39. 下列不属于数字逻辑函数的表示方法的是(B )。

A. 真值表

B. 占空比

C. 逻辑表达式

D. 逻辑图

40. 将(0.706)D 转换为二进制数(0.101101001)B ,两者的误差不大

于(A )。

A. 2-10

B. 2-9

C. 2-8

D. 2-7

41. 下列四个不同进制的无符号数中,其值最小的是(C )。

A.(11001011)B

B.(201)D

C.(310)O

D.(CA )H

42. 下列属于有权码的是(A )。

A .2421码 B. 余3循环码 C. 格雷码 D. ASC Ⅱ码

43. 下列函数中,是最小项表达式形式的是(A )。 A. BC A C B A Y

+= B. D C A C AB Y += C. BC ABC Y

+= D. ABC C B A Y +=

44. 已知某逻辑电路对应的逻辑函数表达式为AC B A C A F ++=中,( )

的变化可能造成该逻辑电路产生竞争冒险( )。

A. A 变量

B. B 变量

C. C 变量

D. 都不会

45. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( B ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7)

C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

46.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出0

12Y Y Y ??的值是( A )。

A .111 B. 010 C. 000 D. 101

47.十六路数据选择器的地址输入(选择控制)端有( C )个。

A .16 B.2 C.4 D.8

48.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码

A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111

49. 一只四输入端或非门,使其输出为1的输入变量取值组合有( D )种。

A .15

B .8

C .7

D .1

50.已知逻辑函数 与其相等的函数为(D )。

A . B. C. D.

51.一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输

出。

A.4

B.6

C.8

D.16

52.四个触发器组成的环行计数器最多有( D )个有效状态。

A.4

B. 6

C. 8

D. 16

53.N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。

A.N

B.2N

C.N 2

D.2N

54、.请判断以下哪个电路不是时序逻辑电路(C )。

A 、计数器

B 、寄存器

C 、译码器

D 、触发器

55、函数F=AB+BC ,使F=1的输入ABC 组合为( D )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110

56、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为(B )。

A .J=0,K=0 B. J=1,K=1 C. J=1,K=0 D. J=0,K=1

57、在下列逻辑电路中,不是组合逻辑电路的有(A )。

A. 寄存器

B.编码器

C.全加器

D. 译码器

58、欲使D 触发器按Qn+1=Qn 工作,应使输入D=(C )。 A. 0 B. 1 C. Q D. Q

59、不与十进制数(53.5)10等值的数或代码为( C )。

A.(01010011.0101)8421BCD

B.(35.8)16

C.(110101.11)2

D.(65.4)8

60. 四个触发器组成的环行计数器最多有( D )个有效状态。

A.4

B. 6

C. 8

D. 16

61、函数F(A,B,C)=AB+BC+AC 的最小项表达式为( B ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7)

C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

62、其输出信号的逻辑表达式为( C )

A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q

B Q A Q +=+ D. Q n+1 = B

63、设图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压

波形恒为0的是:(C )图。

A B C D

64.逻辑函数F=AB+BC 的最小项表达式为( c )。

A 、F=m 2+m 3+m 6

B 、F=m 2+m 3+m 7

C 、F=m 3+m 6+m 7

D 、F=m 3+m 4+m 7

65. 测得某逻辑门输入A 、B 和输出F 的波形下图所示,则F (A ,B )的表达式为( C )。

A 、F=A

B B 、F=A+B

C 、F=A ⊕B

D 、F=

66.DE BC A Y +=的反函数为Y =( B )。

(A )E D C B A Y +++?= (B) E D C B A Y +++?= (C) )(E D C B A Y +++?= (D) )(E D C B A Y +++?=

67.下列表达式中不存在竞争冒险的有 C 。

A.Y =B +A B

B.Y =A B +B C

C.Y =A B C +A B

D.Y =(A +B )B D

68.用四选一数据选择器实现函数Y =0101A A A A +,应使 A 。

A.D 0=D 2=0,D 1=D 3=1

B.D 0=D 2=1,D 1=D 3=0

C.D 0=D 1=0,D 2=D 3=1

D.D 0=D 1=1,D 2=D 3=0

69.欲使J K 触发器按Q n +1=Q n

工作,可使J K 触发器的输入端 B 。 A.J =Q ,K =Q B.J =Q ,K =Q C.J =Q ,K =1 D.J =0,K =Q

70.把一个八进制计数器与一个四进制计数器串联可得到 D 进

制计数器。

A.8

B.4

C.12

D.32

71.下列逻辑电路中为时序逻辑电路的是 C 。

A.译码器

B.加法器

C.计数器

D.数据选择器

72.以下式子中不正确的是( C )

a .1?A =A

b .A +A=A

c .B A B A +=+

d .1+A =1

73.已知B A B B A Y ++=下列结果中正确的是( C )

a .Y =A

b .Y =B

A B F

AB

c .Y =A +B

d .B A Y +=

74.以下错误的是(B )

a .数字比较器可以比较数字大小

b .实现两个一位二进制数相加的电路叫全加器

c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器

d .编码器可分为普通全加器和优先编码器

75. 当逻辑函数有n 个变量时,共有 D 个变量取值组合?

A. n

B. 2n

C. n2

D. 2的n 次方

76.逻辑函数的表示方法中具有唯一性的是 A 。

A .真值表 B.表达式 C.逻辑图 D.以上都具有唯一性

77.一个16选一的数据选择器,其地址输入(选择控制输入)端有

(C )个。

A.1

B.2

C.4

D.16

78.五个D 触发器构成环形计数器,其计数长度为 D 。

A.5

B.10

C.25

D.32

79. 表示十六进制数16个数码,需要二进制数码的位数是(B )。

A .2位 B. 4位 C. 3位 D. 10位

80. 下列四个不同进制的无符号数中,其值最大的是(A )。

A .二进制数 11001011 B. 十进制数 201

C .八进制数 310 D. 十六进制数CA

81. 逻辑关系为“一件事情的发生是以其相反的条件为依据”的逻辑门是(B )。

A .与门 B. 非门 C. 异或门 D. 同或门

82 下列函数中,是最小项表达式形式的是( A )。

A.

BC A C B A Y += B. Y=ABC+ACD

C. Y=ABC+BC

D. ABC C B A Y +=

83. 二进制8421码0111对应的余3循环码为(C )。 A. 0100 B. 0101 C. 1111 D. 0011

84. 已知某逻辑电路对应的逻辑函数表达式为AB F ++=

中,哪个变量的变化可能造成该逻辑电路产生竞争冒险( A )。

A. A 变量

B. B 变量

C. C 变量

D. D 变量

85. 表1所示的某电路的真值表所代表的逻辑功能是(D )。

A .奇校验电路 B. 偶校验电路 C. 一位全加器 D. 一位数值比较器

86. 下列关于时序逻辑电路的特征,描述错误的是(D )。

A .时序逻辑电路由组合电路和存储电路组成。

B .时序逻辑电路的状态与时间有关。

C .时序逻辑电路的输出信号由输入信号和电路的状态共同决定。

D .时序逻辑电路中不含有具有记忆功能的元件。

87. 下列不属于触发器的描述方式是(D )。

A. 特性表

B. 特性方程

C. 状态图

D. 状态表

88. 在A B C B B A A B A C B B A Y +++=+++=函数变换式中,用到的

代数法是(B )。

A. 分配律

B. 吸收律

C. 交换律

D. 0-1律

二、判断题(正确打√,错误的打×)

1. 逻辑变量的取值,1比0大。( X )。

2. 异或函数与同或函数在逻辑上互为反函数。(√ )。

3.若两个函数具有相同的真值表,则两个逻辑函数必然相等。(√ )。

4.因为逻辑表达式A+B+AB=A+B 成立,所以AB=0成立。( X )

5.若两个函数具有不同的真值表,则两个逻辑函数必然不相等。(√ )

6.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。( X )

7.逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。(√ )

8.“0”的补码只有一种形式。 (√ )

9.卡诺图中,两个相邻的最小项至少有一个变量互反。 (√ )

10.用或非门可以实现3种基本的逻辑运算。 (√

) 11.时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。(√

12.采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X )

13.时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,

它们之间可以相互转换。 (√ )

14.一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对

无效状态的处理。 (√ )

15. 方波的占空比为0.5。(√ )

16. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√ )

17.格雷码具有任何相邻码只有一位码元不同的特性。(√ )

18.八进制数(8)8比十进制数(8)10小。( X )

19.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(√ )

20.全是由最小项组成的与-或式表达式,称最简与-或表达式。(X )

21.在若干个逻辑关系相同的与-或表达式中,其中包含的与项数最少,且每个与项中

变量数最少的表达式,称最小项表达式。(X )

22..时序逻辑电路由组合逻辑电路和存储电路组成。(√)

23.Mealy型时序电路:电路输出是输入变量与触发器状态的函数。(√)

24.输出与输入有直接的关系、输出方程中含输入变量的是Moore型时序电路。(X )

25.Moore型时序电路:电路输出仅仅是触发器状态的函数。(√)

26.输出与输入没有直接的关系、输出方程中不含输入变量的是Mealy型时序电路。(X )

27. RS触发器、JK触发器均具有状态翻转功能(X )

28. 构成一个7进制计数器需要3个触发器(√)

29.八路数据分配器的地址输入(选择控制)端有8个。( X )

30. 因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。( X )

31. JK触发器的J=K=1 变成T 触发器。(√)

32.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(√)33.约束项就是逻辑函数中不允许出现的变量取值组合,用卡诺图化简时,可将约束项

当作1,也可当作 0。(√)

34.时序电路不含有记忆功能的器件。( X )

35.RS触发器的输出状态Q N+1与原输出状态Q N无关。( X )

36.优先编码器只对同时输入的信号中的优先级别最高的一个信号编码. (√)

三、填空题

1. 逻辑代数又称为代数。最基本的逻辑关系有、、

三种。常用的几种导出的逻辑运算为、、、、。

2. 逻辑函数的常用表示方法有、、。

3. 逻辑代数中与普通代数相似的定律有、、。摩根定律又称为。

4. 逻辑代数的三个重要规则是、、。

5.逻辑函数F=A+B+C D的反函数F= 。

6.逻辑函数F=A(B+C)·1的对偶函数是。

7.添加项公式AB+A C+BC=AB+A C的对偶式为。

8.逻辑函数F=A B C D+A+B+C+D= 。

9.逻辑函数F=AB

A+

+= 。

B

+

B

B

A

A

10.已知函数的对偶式为B A +BC D C +,则它的原函数为 。

1.布尔 与 或 非 与非 或非 与或非 同或 异或

2.逻辑表达式 真值表 逻辑图

3.交换律 分配律 结合律 反演定律

4.代入规则 对偶规则 反演规则

5.A B (C+D )

6.A+BC+0

7.(A+B )(A +C )(B+C )=(A+B )(A +C )

8.1

9.0

10.)()(C B D C B A +?+?+

1. 描述脉冲波形的主要参数有 、 、 、 、 、 、 。

2. 数字信号的特点是在 上和 上都是断续变化的,其高电平和低电平常用

和 来表示。

3. 分析数字电路的主要工具是 ,数字电路又称作 。

4. 在数字电路中,常用的计数制除十进制外,还有 、 、 。

5. 常用的BCD 码有 、 、 、 等。常用的可靠性代码

有 、 等。

1. 幅度、周期、频率、脉宽、上升时间、下降时间、占空比

2. 时间、幅值、1、0

3. 逻辑代数、逻辑电路

4. 二进制、八进制、十六进制

5. 8421BCD 码、2421BCD 码、5421BCD 码、余三码、格雷码、奇偶校验码

1. 将二进制数(111001010.01001)2转换为十六进制数是 。

2. 逻辑函数的表示方法有 。

3. 数字系统中常用的各种数字部件,就其结构和工作原理可分为 和

两大类电路。

4. 常用的数字逻辑函数的化简方法有 和 。

5. 已知函数L(A,B,C,D)=

∑)14,13,10,9,8,6,5,2,1,0(m ,将函数L 的最简与或表达式

用2

输入的与非门表示是 。

6. 具有置0、置1、保持和翻转功能被称为全功能触发器的是 触发器。

7. 图5为两个与非门交叉耦合构成的基本RS 触发器,基本RS 触发器具有置0、

置1、保持和状态不定四种状态。当S = ,R = 时,该触发器处于置

1状态。

8. 某同步时序逻辑电路的状态表如表2所示,若电路初始状态为B ,输入序列X=011101,

则电路图产生的输出响应序列为 。

表2状态表

图5 基本RS 触发器 9. 已知某时序逻辑电路的激励信号为 和 , 对应的状态方程Q 1= 。

10.在图6

电路图,有 个无效状态。

同步时序逻辑电路的状态图

A Q J 01=A K =1

1. (1CA.48)16

2.逻辑表达式、波形图、真值表和逻辑图

3.组合逻辑电路和时序逻辑电路

4.代数法和卡诺图法

5.D C D C D B ?? 或D C D C C B ??(答案不唯一)

6. JK

7. 0 和 1 8. 111100 9.A Q Q A Q A Q A Q n

1n 1n 0n 1n

0++或 10. 3

和 5

大学高等数学上考试题库(附答案)

《高数》试卷1(上) 一.选择题(将答案代号填入括号内,每题3分,共30分). 1.下列各组函数中,是相同的函数的是( ). (A )()()2ln 2ln f x x g x x == 和 (B )()||f x x = 和 ()2g x x = (C )()f x x = 和 ()() 2 g x x = (D )()|| x f x x = 和 ()g x =1 2.函数()()sin 42 0ln 10x x f x x a x ?+-≠? =+?? =? 在0x =处连续,则a =( ). (A )0 (B )1 4 (C )1 (D )2 3.曲线ln y x x =的平行于直线10x y -+=的切线方程为( ). (A )1y x =- (B )(1)y x =-+ (C )()()ln 11y x x =-- (D )y x = 4.设函数()||f x x =,则函数在点0x =处( ). (A )连续且可导 (B )连续且可微 (C )连续不可导 (D )不连续不可微 5.点0x =是函数4 y x =的( ). (A )驻点但非极值点 (B )拐点 (C )驻点且是拐点 (D )驻点且是极值点 6.曲线1 || y x = 的渐近线情况是( ). (A )只有水平渐近线 (B )只有垂直渐近线 (C )既有水平渐近线又有垂直渐近线 (D )既无水平渐近线又无垂直渐近线 7. 211 f dx x x ??' ???? 的结果是( ). (A )1f C x ?? -+ ??? (B )1f C x ?? --+ ??? (C )1f C x ?? + ??? (D )1f C x ?? -+ ??? 8. x x dx e e -+?的结果是( ). (A )arctan x e C + (B )arctan x e C -+ (C )x x e e C --+ ( D )ln()x x e e C -++ 9.下列定积分为零的是( ).

数字电路与逻辑设计

专升本《数字电路与逻辑设计》作业练习题6 解析与答案 一、单选题(选择最合适的答案) 1. 哪种逻辑门“只有在所有输入均为0时,输出才是1”? () A.或非门B.与非门C.异或门D.与或非门 答案:A 解析: 或非门 2.设两输入“与非”门的输入为x和y,输出为z,当z=1时,x和y的取值一定是() A. 至少有一个为1 B. 同时为1 C. 同时为0 D. 至少有一个为0 答案:D 解析: 与非逻辑 3. 两输入与非门输出为0时,输入应满足()。 A.两个同时为1 B.两个同时为0 C.两个互为相反D.两个中至少有一个为0 答案:A 解析:输入全为1 4. 异或门的两个输入为下列哪—种时,其输出为1? A.1,l B.0,1 C.0,0 D.以上都正确 答案:B 解析: 输入不同 5. 下列逻辑门中哪一种门的输出在任何条件下都可以并联使用?()A.具有推拉式输出的TTL与非门B.TTL集电级开路门(OC门) C.普通CMOS与非门D.CMOS三态输出门 答案:B 解析: A,C普通与非门不能并联使用; D三态输出门并联使用是有条件的:它们的使能端(控制端)必须反向,即只能有一个门处于非高阻态

ADABB 二、多选题(选择所有合适的答案) 用TTL 与非门、或非门实现反相器功能时,多余输入端应该( ) A .与非门的多余输入端应接低电平 B. 或非门的多余输入端应接低电平 C. 与非门的多余输入端应接高电平 D. 或非门的多余输入端应接低高平 答案:BC 解析: 多余输入端对与逻辑要接1,对或逻辑要接0 三、简答题 1. 分析如下两个由或非门、异或门、非门以及与非门构成的逻辑电路,请你:①写出F1和F2的逻辑表达式;②当输入变量A ,B 取何值时,两个电路等效? 答案:{ ① 根据图可写出两个电路的输出函数表达式分别为: 12()F A A B A A B A A B A A B A AB AB A A B F AB A B =⊕+=?++?+=??+++=+==+ = ②列出两个电路的真值表: 可见,无论A,B 取任何值,两个电路都等效。 }

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

安全生产知识考试题及答案

安全生产知识考试题及答案 1、下列关于《安全生产法》适用范围的理解,正确的是(B) C、生产经营单位的安全生产,适用本法;消防安全和道路交通安全、铁路交通安全、水上交通安全、民用航空安全以及核与辐射安全、特种设备安全、参照适用本法有关规定 D、生产经营单位的安全生产,适用本法;消防安全和道路交通安全、铁路交通安全、水上交通安全、民用航空安全以及核与辐射安全、特种设备安全,适用其他有关法律、行政法规的规定 2、关于《安全生产法》的立法目的,下列表述中不准确的是(D) A、加强安全生产工作 B、防止和减少生产安全事故 C、保障人民群众生命和财产安全 D、提升经济发展速度 3、下列关于安全生产工作方针的表述,最准确的是(C) A、以人为本、安全第一、预防为主 B、安全第一、预防为主、政府监管 C、安全第一、预防为主、综合治理 D、安全第一、预防为主、群防群治 4、关于安全生产工作机制,不正确的表述是(A) A、政府负责 B、职工参与 C、行业自律 D、社会监督 5、某公司董事长由上一级单位总经理张某兼任,张某长期在外地、不负责该公司日常工作。该公司总经理安某在国外脱产学习,期间日常工作由常务副总经理徐某负责,分管安全生产工作的副总经理姚某协助其工作。根据(安全生产法)有关规定,此期间对该公司的安全生产工作全面负责的人是(C) A、安某 B、张某C徐某D、姚某

6、关于安全生产领域有关协会组织发挥的作用,表述错误的是 (C) A、为生产经营单位提供安全生产方面的信息服务 B、为生产经营单位提供安全生产方面的培训服务 C、加强对生产经营单位的安全生产管理 D、发挥自律作用 7、叶某为某国有矿山的主要负责人,下列关于叶某在安全生产方面的职责的表述,不正确的是(C) B、组织制定本单位的事故应急救援预案 C、亲自为职工讲授安全生产培训课程 D、保证本单位安全生产投人的有效实施 8、生产经营单位应当具备的安全生产条件所必需的资金投人,予以保证的是(D) A、当地县级以上人民政府 B、主管的负有安全生产监管职责的部门 C、生产经营单位的财务部门 D、生产经营单位的决策机构、主要负责人或者个人经营的投资人 9、关于生产经营单位提取和使用安全生产费用,正确的说法是 (D) A、所有生产经营单位都应当提取安全生产费用 C、安全生产工作经费较为充足,或者安全生产状况较好的生产经营单位,可以不提取安全生产费用 D、有关生产经营单位应当按照国家有关规定提取和使用安全生产费用

2019保险代理人资格考试试题题库及答案

2015 保险代理人资格考试试题题库及答案(11)· 1 、在财产保险中,远洋船舶航程保险的保险期限确定依据是()。 A.一年或者一年以内 B.承保风险的时间限制 C.承保风险的空间限制 D.承保风险的区间限制 答案: C ·2 、在年金保险中,以两个或两个以上被保险人的生存作为年金给付条件,且给付持续到最先发生的死 亡时为止的年金保险是()。 A.个人年金 B.联合年金 C.最后生存者年金 D.联合及生存者年金 答案: B ·3 、()不仅使风险管理建立在科学的基础上,而且使风险分析定量化,为风险管理者进行风险决策、 选择最佳管理技术提供了科学依据。 A.风险判断 B.风险估测 C.风险评价 D.风险测量 答案: B · 4 、保险专业代理机构高级管理人员不包括() A.保险专业代理机构营销人员

C.保险专业代理公司的副总经理 D.保险专业代理公司分支机构的主要负责人 答案: A ·5 、保险保障活动运行中所要求的风险大量性条件,一方面是基于风险分散的技术要求,另一方面是()。 A.要求符合监管部门的规定 B.为了体现经营的赢利目标 C.为了体现社会福利政策 D.概率论和大数法则原理在保险经营中的运用 答案: D ·6 、根据《保险代理机构管理规定》,保险代理机构应当向本机构的保险代理业务人员发放执业证书。执业证书是指()。 A.保险代理业务人员与保险公司之间的委托代理合同 B.保险代理业务人员可以从事保险代理活动的资格证明 C.保险代理业务人员代表保险公司从事保险代理活动的证明 D.保险代理业务人员代表保险代理机构从事保险代理活动的证明 答案: D · 7 、救助基金按照机动车交通事故责任强制保险()的一定比例提取。 A.保险费 B.责任限额 C.保险金额 D.未到期责任准备金 答案: A · 8 、人身意外伤害保险的被保险人遭受意外伤害的概率取决于()。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

安全知识考试试题以及答案

部门:姓名:分数: 一、不定项选择题。(每小题2 分,共20分。多选或错选不得分;每少选一个选项扣分) 1、据统计,因火灾死亡的人中有80%以上属于(B)。 A、被火直接烧死 B、烟气窒息死亡 C、跳楼或惊吓致死 2、如果电视机着火了,应(B) A、迅速往电视上泼水灭火。 B、拔掉电源后,用湿棉被盖住。 C、马上拨打火警电话,请消防队来灭火。 3、停电时(AB)。 A、要尽可能用应急照明灯照明 B、要及时切断处于使用状态的电器电源,即关闭电源开关或拔掉插头 C、可以用汽油代替煤油或柴油做燃料使用 4、燃烧的必要条件是(ABC) A、可燃物 B、助燃物 C、引火源 D、有风力 5、身上着火后,下列哪种灭火方法是错误的(C) A、就地打滚 B、用厚重衣物覆盖,压住火苗

C、迎风快跑 6、如果高层建筑发生了火灾,你认为正确的做法是(C) A、迅速往楼上跑,以防被烟熏致死 B、第一时间选择从电梯逃生 C、用湿毛巾捂住口鼻,低下身子沿墙壁或贴近地面跑出火区 D、从窗口中跳下 7、假设你住在一个高层公寓楼的第16层,无法从窗口逃离房间,而过道里已是烟雾弥漫,你该怎么办(ABCD) A、立即返回房间,给消防队打电话 B、将湿毛巾围在门的周围 C、如果呼吸困难而周围尚未起火,在窗子的上方和下方各开一条缝 D、在窗前等待,以便向消防队员求救 8、B)必须分间、分库存储。 A、灭火方法相同的物体 B、容易相互发生化学反应的物品 C、以上两种答案都对 9、配电室发生火灾的原因有(ABCD) A、短路 B、过电荷 C、电弧 D、电火花 10、检查液化石油气管道或阀门泄漏的正确方法是(C) A、用鼻子嗅 B、用火试 C、用肥皂水涂抹 D、用试剂试 二、填空题。(每空1分,共20分)

数字电路与逻辑设计试题与答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于 ______偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A-B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1=______,其约束方程为:_____ _。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___ 个输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y =______;Y 3 =_____ 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F *为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()() D C B A ++ 1 A B 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B. 每个与项中含有的变量个数少 C. 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

高空作业考试题库(附答案)

高空作业考试题库 判断题(正确答“对” ,错误答“错”) *GB/T3608《高处作业分级》国家标准的规定,凡在有可能坠落的高处进行施工作业, 当坠落高度距离地面在2m 及2m 以上时该项作业即称为高处作业。 ( ) (该题出自第一章) 高处作业指的是在建筑、设备、作业场地、工具、设施等的高部位作业,不包括作业 1. 2. 在高层建筑的居室内作业, 也属高处作业。 ( ) (该题出自第一章) 3. 时的上下攀登过程。 ( ) (该题出自第一章) 4. *有固定转动轴的物体的平衡:其平衡条件是顺时针力矩之和 =逆时针力矩之和。 ( ) (该题出自第一章) 5. 力对物体的作用效应取决于力的三要素,即力的大小、方向和作用点。 ( ) (该题出自第一章) 6. *在荷载作用下,位置和几何形状不能改变的体系,称为几何可变体系。 ( ) (该题出自第一章) 7. *在荷载作用下,位置和几何形状可以改变的体系,称为几何不变体系。 ( ) (该题出自第一章) 8. 高处作业安全设施的主要受力部件应经常进行检查, 发现受力杆件变形, 钢丝绳断丝、 起毛、断股,作业人员随意拆除防护设施等情况应立即纠正。

9. 10. 11. 12. 13. 14. 15. ( )(该题出自第二章) 因作业需要临时拆除或变动安全防护设施时,不一定要经现场负责人同意,仅需采取 相应的安全措施,作业后立即恢复即可。 ( ) (该题出自第二章) 接料平台两侧的栏杆,必须自上而下加挂安全立网或满扎竹笆。 ( ) (该题出自第二章) 在施工过程中,各类人员都应在规定的通道内行走,不允许在阳台间或非正规通道作 登高或跨越,但可利用臂架或脚手架杆件与施工设备进行攀登。 ( ) (该题出自第二章) 梯子如需接长使用,必须有可靠的连接措施,且接头不越过2 处。 ( ) (该题出自第二章) 使用直爬梯进行攀登作业时,攀登高度以5m为宜,超过8m时必须设置梯间平台。( ) (该题出自第二章) 浇筑离地2m 以上的框架、过梁、雨篷和小平台时,应设操作平台,不得直接站在模 板或支撑件上操作。( ) (该题出自第二章) 浇筑拱形结构,应自两边拱脚对称地相向进行。( ) (该题出自第二章) 16. 在交叉作业时,不同层次之间前后左右方向必须有一段竖向的安全距离。

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

安全知识考题有标准答案

安全知识考题有答案

————————————————————————————————作者:————————————————————————————————日期:

一、单选题 (从备选答案中选取1个正确答案,将其序号填入括号内。) 1、安全检查的主要目的是()。 A 要查出事故隐患,为进行整改或制定安全技术措施计划提供依据 B 提高广大员工的安全意识、提醒职工注意安全生产 C 为应付上级检查,作好充分的准备,以免出现纰漏 D 由下级提出,经上级批准,确认后执行 (A) 2、()属于安全色种类。 A 白 B 黑 C 蓝 D 橙 (C) 3、设备、环境的( )是实现安全生产的物质基础,是提高技术装备水平,消除物的不安全状态的根本措施。 A 现代化 B 安全化 C 智能化 D 人机一体化 (B) 4、()是及时发现危险、有害因素、消除事故隐患的主要措施;是实现设备环境安全化的重要保证。 A 安全措施 B 安全教育 C 安全检查 D 全考评 (C) 5、( )在构成作业场所的热环境中是起主导作用。 A 环境温度 B 环境湿度 C 通风状况 D A和B (A) 6、安全信号装置和安全标志是( )装置。 A 提示 B 警示 C 警告 D A或C (B) 7、( )视认性不好,但具有安全、和平的意义。因此,它用作表示安全或用作提示。 A 白色 B 黄色 C 绿色 D 灰色 (C) 8、从安全的角度出发,职业适应性分析主要是指职业反应性的( )分析。 A 生理学 B 心理学 C 行为学 D 神经系统灵敏度 (B) 9、管理与控制的本质就是( )。 A 人的管理 B 物的管理 C 信息管理 D A和B (C) 10、工业企业的生产车间和作业场所的工作地点的噪声标准为85dB,现有工业企业经过努力

大学高等数学下考试题库(及答案)

一.选择题(3分?10) 1.点1M ()1,3,2到点()4,7,22M 的距离=21M M ( ). A.3 B.4 C.5 D.6 2.向量j i b k j i a ρρρ ρρ??+=++-=2,2,则有( ). A.a ρ∥b ρ B.a ρ⊥b ρ C.3,π=b a ρρ D.4 ,π=b a ρρ 3.函数1 122 2 22-++ --= y x y x y 的定义域是( ). A.(){ }21,22≤+≤y x y x B.( ){} 21,22<+p D.1≥p 8.幂级数∑∞ =1 n n n x 的收敛域为( ). A.[]1,1- B ()1,1- C.[)1,1- D.(]1,1- 9.幂级数n n x ∑∞ =?? ? ??02在收敛域内的和函数是( ). A. x -11 B.x -22 C.x -12 D.x -21

10.微分方程0ln =-'y y y x 的通解为( ). A.x ce y = B.x e y = C.x cxe y = D.cx e y = 二.填空题(4分?5) 1.一平面过点()3,0,0A 且垂直于直线AB ,其中点()1,1,2-B ,则此平面方程为______________________. 2.函数()xy z sin =的全微分是______________________________. 3.设133 2 3 +--=xy xy y x z ,则 =???y x z 2_____________________________. 4. x +21 的麦克劳林级数是___________________________. 5.微分方程044=+'+''y y y 的通解为_________________________________. 三.计算题(5分?6) 1.设v e z u sin =,而y x v xy u +==,,求 .,y z x z ???? 2.已知隐函数()y x z z ,=由方程052422 2 2 =-+-+-z x z y x 确定,求 .,y z x z ???? 3.计算 σd y x D ?? +2 2sin ,其中22224:ππ≤+≤y x D . 4.如图,求两个半径相等的直交圆柱面所围成的立体的体积(R 为半径). 5.求微分方程x e y y 23=-'在00 ==x y 条件下的特解. 四.应用题(10分?2)

数字电路与逻辑设计(人民邮电出版社)课后答案(邹红主编)

1-1将下列二进制数转换成等值的十进制数和十六进制数。 (1)(1101010.01)2; (3)(11.0101)2; (2)(111010100.011)2; (4)(0.00110101)2; 解:二进制数按位权展开求和可得等值的十进制数;利用进制为2k数之间的特点可以直接将二进制数转换为等值的十六进制数。 (1)(1101010.01)2=1×26+1×25+1×23+1×21+1×2-2 =(106.25)10=(6A.4)16 (2)(111010100.011)2=1×28+1×27+1×26+1×24+1×22+1×2-2+ 1×2-3=(468.375)10=(1D4.6)16 (3)(11.0101)2=1×21+1×20+1×2-2+1×2-4 =(3.3125)10=(3.5)16 (4)(0.00110101)2=1×2-3+1×2-4+1×2-6+1×2-8 =(0.20703125)10=(0.35)16 1-2将下列十进制数转换成等值的二进制数、八进制数和十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(378.25)10; (3)(56.7)10; (2)(194.5)10; (4)(27.6)10; 解法1:先将十进制数转换成二进制数,再用进制为2k数之间的特点可以直接将二进制数转换为等值的八进制数和十六进制数。 (1)(378.25)10=(101111010.0100)2=(572.2)8=(17A.4)16 (2)(194.5)10=(11000010.1000)2=(302.4)8=(C2.8)16

(3)(56.7)10 =(111000.1011)2=(70.54)8=(38.B )16 (4)(27.6)10 =(11011.1001)2=(33.44)8=(1B.9)16 解法 2:直接由十进制数分别求二进制、八进制和十六进制数。由于二进制 数在解法 1 已求出,在此以(1)为例,仅求八进制数和十六进制数。

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

安全知识考试题及答案.doc

故隐患;(6)依靠科技进步,采用先进装备和先进的管理模式。3、事故应急救援的基本任务包括哪几个方面? 答:事故应急救援的基本任务包括以下几个方面:(1)组织营救受害人员;(2)迅速控制事态;(3)消除危害后果,做好现场恢复;(4)查清事故原因,评价危害程度。4、事故报告内容有哪几个方面? 答:(1)事故发生单位概况;(2)事故发生经过和事故救援情况;(3)事故造成的人员伤亡和直接经济损失;(4)事故发生的原因和事故性质;(5)事故责任的认定以及对事故责任者的处理建议;(6)事故防范和整改措施。 5、应急预案是针对可能发生的重大事故所需的应急准备和应急响应行动而制定的指导性文件,其核心内容主要包括哪些? 答:应急预案的核心内容主要包括:(1)对紧急情况和事故灾害及其后果的预测、辨识和评价;(2)规定应急救援各方组织的详细职责;(3)应急救援行动的指挥与协调;(4)应急救援中可用的人员、设备、设施、物资、经费保障和其他资源,包括社会和外部援助资源等;(5)在紧急情况或事故灾害发生时保护生命、财产和环境安全的措施;(6)现场恢复;(7)其他,如应急培训和演练、法律法规的要求等。四、论述题(15分) 请结合本单位实际情况,生产经营单位的主要负责人对本单位的安全生产工作负哪些职责?

答:我公司的主要负责人对公司的安全生产工作负有以下职责: (1) 对公司的安全生产负有全面领导和管理责任;(2)认真贯彻执行国家有关法律、法规和政策,加强对安全生产的管理,建立健全安全生产责任制,完善安全生产条件,确保安全生产;(3)设置安全生产管理机构,配备安全生产管理人员;(4)组织制定、批准、发布公司的各项安全生产管理制度,安全技术操作规程,安全技术措施计划和长远规划,并确保安全投入的有效实施;(5)为员工提供符合国家标准或行业标准的劳动防护用品;(6)督促、检查公司的安全检查工作,主持公司安全生产管理委员会工作并定期召开安全生产会议,及对研究和解决有关安全生产的重大问题。审核引进技术(设备)和开发新产品中的重要安全技术问题;(7)坚持安全生产“五同时”原则,即在计划、布置、检查、总结、评比生产的同时,计划、布置、检查、总结、评比安全工作;(8)在新建、改建、扩建项目时,安全设施必须与主体工程同时设计、同时施工、同时投产和使用;(9)组织公司的安全检查,及时发现事故隐患;(10)领导、监督安全管理部门对各类事故的调查、分析、处理及上报工作,对事故上报的有时性和准确性负责;(11)组织制定并实施公司的事故应急救援预案;(12)每年向董事会和员工报告安全生产和劳动保护工作情况,听取意见和建议,并执行董事会相关决议。

高等数学下考试题库(附答案)(1)

《高等数学》试卷1(下) 一.选择题(3分?10) 1.点1M ()1,3,2到点()4,7,22M 的距离=21M M ( ). A.3 B.4 C.5 D.6 2.向量j i b k j i a ρρρ ρρ??+=++-=2,2,则有( ). A.a ρ∥b ρ B.a ρ⊥b ρ C.3,π=b a ρρ D.4 ,π=b a ρρ 3.函数1 122 2 22-++ --= y x y x y 的定义域是( ). A.(){ }21,22≤+≤y x y x B.( ){} 21,22<+p D.1≥p 8.幂级数∑∞ =1n n n x 的收敛域为( ). A.[]1,1- B ()1,1- C.[)1,1- D.(]1,1- 9.幂级数n n x ∑∞ =?? ? ??02在收敛域内的和函数是( ).

A. x -11 B.x -22 C.x -12 D.x -21 10.微分方程0ln =-'y y y x 的通解为( ). A.x ce y = B.x e y = C.x cxe y = D.cx e y = 二.填空题(4分?5) 1.一平面过点()3,0,0A 且垂直于直线AB ,其中点()1,1,2-B ,则此平面方程为______________________. 2.函数()xy z sin =的全微分是______________________________. 3.设133 2 3 +--=xy xy y x z ,则=???y x z 2_____________________________. 4. x +21 的麦克劳林级数是___________________________. 5.微分方程044=+'+''y y y 的通解为_________________________________. 三.计算题(5分?6) 1.设v e z u sin =,而y x v xy u +==,,求 .,y z x z ???? 2.已知隐函数()y x z z ,=由方程052422 2 2 =-+-+-z x z y x 确定,求 .,y z x z ???? 3.计算 σd y x D ??+22sin ,其中22224:ππ≤+≤y x D . 4.如图,求两个半径相等的直交圆柱面所围成的立体的体积(R 为半径). 5.求微分方程x e y y 23=-'在00 ==x y 条件下的特解.

最新数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

安全知识培训考试题及答案

新员工上岗前安全知识考试题 总分:100分 部门:姓名:时间: 一、单项选择题:(20分,2分/题) 1、未经()的新进工人,不得上岗作业。 A、身体检查 B、培训考核 C、三级教育培训合格 2、发生火灾时,报警电话拔打() A、119 B、120 C、110 D、122 3、生产现场安全生产管理人员是指() A、安全主任 B、生产厂长 C、总经理 D、现场管理人员 4、公安消防队扑救火灾()向发生火灾的单位个人收取费用 A、不得 B、可以 C、按照一定标准 D、适当收取一定费用 5、用灭火器进行灭火的最佳位置是() A、下风位置 B、上风或侧风位置 C、离起火点10米以上位置 D、离起火点10米以下位置 6、检查液化石油气管或阀门泄漏的正确方法() A、用鼻子嗅 B、用火试 C、用肥皂水涂抹 D、用试调剂 7、工伤是指:() A:在务工期间发生的一切伤害事故。B:在工作时间内发生的伤害事故。 C:在工作时间内做与工作有关的事情发生的伤害事故。D:其它非工作时间 8、喷丝作业要求使用口罩的原因是:() A、保护产品不受污染 B、防止吸入灰尘 C、过滤空气中的有害物质 9、危险化学品燃烧时,会产生有毒烟雾,在扑救时人应站在() A、上风向 B、下方向 C、任意方面 10、当被困在电梯中,你第一时间该做的是:() A、大声呼救 B、敲打电梯 C、手机求救 D、按电梯内呼救键 二、多项选择题:共10分,2分/题 1、灭火的基本方法有() A、冷却法 B、隔离法 C、室息法 D、抑制法 2、新进厂员工上岗前要进行“三级安全教育”是指() A、厂部教育 B、车间教育 C、安全知识教育 D、岗位教育 E、职工教育 3、燃烧需具备哪些条件() A、可燃物 B、助燃物 C、着火源 4、工作场所噪声过大需哪种防护用品() A、耳塞 B、耳罩 C、面罩 D、防尘口罩 5、从业人员严禁进入操作危险区域,它包括有() A、起重作区域 B、发电房、锅炉作业区域 C、作业加工、批发场地 D、危险品仓库区域 三、填空题:共20分4分/题 1、任何单位、个人都有维护、、报告火火警的义务。

相关文档
相关文档 最新文档