文档库 最新最全的文档下载
当前位置:文档库 › 2012数字逻辑复习题..

2012数字逻辑复习题..

2012数字逻辑复习题..
2012数字逻辑复习题..

2012数字逻辑复习提要

一、选择题

1.若ABCDEFGH 为最小项,则它有逻辑相邻项个数为( A )

A. 8

B. 82

C. 28

D. 16 2.如果编码0100表示十进制数4,则此码不可能是(B )

A. 8421BCD 码

B. 5211BCD 码

C. 2421BCD 码

D. 余3循环码 3.构成移位寄存器不能采用的触发器为( D ) A. R-S 型 B. J-K 型 C. 主从型 D. 同步型 5.以下PLD 中,与、或阵列均可编程的是(C )器件。 A. PROM B. PAL C. PLA D. GAL

6.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F= A 。

A .

B .

C .

D . 7.组合电路是指 B 组合而成的电路。

A .触发器

B .门电路

C .计数器

D .寄存器

8.电路如右图所示,经CP 脉冲作用后,欲使Q n+1

=Q ,则A ,B 输入应为 AB 。

A .A=0,B=0

B .A=1,B=1

C .A=0,B=1

D .A=1,B=0

9.一位十进制计数器至少需要 4个触发器。 A .3

B .4

C .5

D .

10

D B A D B A D B A ++D

B A D

C A C B A ++D

C A

D B A C B A ++D

B A D B A D B A ++

10.n 个触发器构成的扭环计数器中,无效状态有 D 个。

A .n

B .2n

C .2

n-1

D .2n

-2n

11.GAL 器件的与阵列 ,或阵列 D 。

A .固定,可编程

B .可编程,可编程

C .固定,固定

D .可编程,固定

12.下列器件中是 C 现场片。

A .触发器

B .计数器

C .EPROM

D .加法器

13.IspLSI 器件中,缩写字母GLB 是指 B 。

A . 全局布线区

B .通用逻辑块

C .输出布线区

D .I/O 单元 14. 在下列逻辑部件中,不属于组合逻辑部件的是D 。

A . 译码器

B .编码器

C .全加器

D .寄存器 15. 八路数据选择器,其地址输入端(选择控制段)有 C 个。

A .8

B .2

C .3

D .4

16. 为将D 触发器转换为T 触发器,下图所示电路虚线框内应是 。

A . 或非门

B . 与非门

C . 异或门

D . 同或门

17.用n 个触发器构成计数器,可得到最大计数摸是 B 。

A .n

B .2n

C .2

n

D .2n-1

18.) (F ,)6,5,4,3,2,1,0(C)B ,,F(A ==

∑则m C

(A)ABC (B)A+B+C (C)__

__

__

C B A ++ (D) __

____C B A

19.或非门构成的基本RS 触发器,输入端SR 的约束条件是(A )

(A)SR=0 (B)SR=1 (C)1__

__

=+R S (D) 0__

__=+R S

21.在CP 作用下,欲使D 触发器具有Q n+1

=__

n

Q 的功能,其D 端应接( D )

(A)1 (B) 0 (C) n

Q (D) __n

Q

22.比较两个两位二进制数A=A1A0和B=B1B0,当A>B时输出F=1,则F的表达式是( C )。

(A)

__

1

1

B

A

F=(B)

__

1

__

1

B

B

A

A

F+

+

=

(C)

__

_________

1

1

__

1

1

B

A

B

A

B

A

F⊕

+

=(D)

__

__

1

1

B

A

B

A

F+

+

=

23.下列电路中属于数字电路的是( D )。

A. 差动放大电路

B. 集成运放电

C. RC振荡电路

D. 逻辑运算电

24.表示任意两位十进制数,需要( B )位二进制数。

A. 6

B. 7

C. 8

D. 9

25.n个变量可以构成( C )个最大项或最小项。

A. n

B. 2n

C. 2n

D. 2n-1

26.下列触发器中,没有约束条件的是( C )。

A. 主从R-S触发器

B. 基本R-S触

发器

C. 主从J-K触发器

D. 以上均有约

束条件

27.组合逻辑电路中的险象是由于(C )引起的。

A. 电路未达到最简

B. 电路有多个

输出

C. 电路中的时延

D. 逻辑门类型

不同

28.实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要

的( D )。

A. 状态数目更多

B. 状态数目更

C. 触发器更多

D. 触发器一定

更少

29.用0011表示十进制数2,则此码为(D )。

A. 余3码

B. 5421码

C. 余3循环码

D. 格雷码

31.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F=( A )。

A.

B.

C.

D.

32.组合电路是指( B )组合而成的电路。

A.触发器B.门电路

C.计数器D.寄存器

33.八路数据分配器,其地址输入(选择控制)端有( C )个。

A.1 B.2

C.3 D.8

34.555定时器构成的单稳态触发器输出脉宽t w为。

A.1.3RC

B.1.1RC

C.0.7RC

D.RC

35.下列触发器中,没有约束条件的是( C )。

A. 主从R-S触发器

B. 基本R-S触

发器

C. 主从J-K触发器

D. 以上均有约

束条件

36.实现两个四位二进制数相乘的组合电路,应有(B)个输出函数。

A. 4

B. 8

C. 10

D. 12

37.组合逻辑电路中的险象是由于( C )引起的。

A. 电路未达到最简

B. 电路有多个

输出

C. 电路中的时延

D. 逻辑门类型

不同

38.实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要

的( D )。

A. 状态数目更多

B. 状态数目更少

C. 触发器更多

D. 触发器一定

更少

39.用0011表示十进制数2,则此码为( D )。

A. 余3码

B. 2421码

C. 余3循环码

D. 格雷码

40.标准与或式是由(B )构成的逻辑表达式。

A. 与项相或

B. 最小项相或

C. 最大项相与

D. 或项相与

41.J-K触发器在CP时钟脉冲作用下,要使得Q(n+1)=Q n,则输入信号必定不

会为(A )。

A. J = K = 0

B. J = Q, K =

Q

C. J = 0, K = Q

D. J = Q, K = 0

42.A⊕1⊕0⊕1⊕1⊕0⊕1 = ( A )。

A. A

B.

C. 0

D. 1

44. 表示任意两位无符号十进制数需要( B )二进制数。

A.6 B.7 C.8 D.9

46.补码1.1000的真值是()。

A. +1.0111 B. -1.0111 C.-0.1001 D.-0. 1000 47.标准或-与式是由( C )构成的逻辑表达式。

A.与项相或 B.最小项相或 C.最大项相与 D.或项相与48.下列四种类型的逻辑门中,可以用( D )实现三种基本运算。

A.与门 B.或门

C.非门 D.与非门

49.将D触发器改造成T触发器,下图所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门

50.实现两个四位二进制数相乘的组合电路,应有(A )个输出函数。

A. 8 B. 9 C. 10 D. 11

51.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为(D )。

A.JK=00 B.JK=01 C.JK=10 D.JK=11 52.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要(B )个异或门。

A.2 B. 3 C. 4 D. 5

53.一个3:8线的地址译码器(74LS138),其控制信G 1、2A G 、2B G 的组合为__D_时才对输入进行译码。

A. 110

B. 101

C. 111

D. 100 54.逻辑函C A AB C B F ++=,当变量的取值为__A___时,将出现竞争冒险现象。

A . B=C=1

B . B=C=0

C .A=1,C=0

D .A=0,B=0 55.下列逻辑函数中,与(A+B )(A+C)等价的是_C__。 A. F=AB B . F=A+B C . A+BC D . F= B+C 56.函数F=B A +AB 转换成或非-或非式为(B ) A .B A B A +++ B . B A B A +++ C . B A B A +

D . B A B A +++

57.图示ROM 阵列逻辑图,当地址为

A 1A 0=10时,该字单元的内容为( C ) A . 1l10

B . 0111

C . 1010

D . 0100

58.下列时序电路的状态图中,具有自启动功能的是( B )

59.在下列电路中不是组合逻辑电路的是 ( D )

A 、译码器

B 、编码器

C 、全加器

D 、寄存器

60.EPROM的与阵列(A ),或阵列()。

A.固定,可编程B.可编程,固定C.固定,固定D.可编程,可编程61.一个十进制计数器至少需要( B )个触发器。

A.3 B.4

C.5 D. 10

62.下列表达式中不存在竞争冒险的有( C )。

A.Y=B+A B B.Y=A B+B C

C.Y=A B C+A B D.Y=(A+B)A B

63.ISP技术的特点是____D____。

A.必须用编程器 B.不可反复编程

C.成为产品后不可再改变 D.系统在线工作过程中可以编程64.PROM、PLA、PAL三种可编程器件中,_AB_____是可编程的。

A.PROM的或门阵列 B..PAL的与门阵列

C.PAL的与门阵列或门阵列 D.PROM的与门阵列66.下列四个数中最大的数是( B )

A.(AF)16 B.(001010000010)8421BCD C.(10100000)2 D.(198)10

67.将代码(10000011)8421BCD转换成二进制数为( B )A.(01000011)2B.(01010011)2

C.(10000011)2D.(000100110001)2 68.N个变量的逻辑函数应该有最小项( C )

A.2n个B. n2个

C.2n个D. (2n-1)个

69.下列关于异或运算的式子中,不正确的是( B )

A⊕=0 A.A⊕A=0 B.A

C.A⊕0=A D.A⊕1=A

70.下图所示逻辑图输出为“1”时,输入变量( C )

ABCD 取值组合为

A .0000

B .0101

C .1110

D .1111

71.下列各门电路中,( B )的输出端可直接相连,实现线与。

A .一般TTL 与非门

B .集电极开路TTL 与非门

C .一般CMOS 与非门

D .一般TTL 或非门 72.D A C B A +在四变量卡诺图中有( D )个小格是“1”

A .13

B .12

C .6

D . 5

73. 对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为( A )

A .RS=X0

B .RS=0X

C .RS=X1

D .RS=1X

74. JK 触发器在CP 脉冲作用下,欲使1n n Q Q +=,则输入信号必定不为

( A )。

A .0J K ==

B .J Q =,K Q =

C .J Q =,K Q =

D .J Q =,0K = 75.Moore 型时序电路的输出_B____。

A .与当前输入有关

B . 与当前状态有关

C . 与当前输入和状态都有关

D . 与当前输入和状态都无关 76.PAL 是指___B___。

A .可编程逻辑阵列

B .可编程阵列逻辑

C .通用阵列逻辑

D .只读存储器

77.ispLSI器件中,GRP是指__A______。

A.全局布线区 B.通用逻辑块

C.输出布线区 D.输入输出单元

78. GAL是 C 。

A.随机读写存储器B.可编程逻辑阵列

C.通用阵列逻辑D.现场可编程门阵列

79. EPROM的与阵列 A ,或阵列。

A.固定,可编程B.可编程,固定

C.固定,固定D.可编程,可编程

80.在ispLSI器件中,GRP是指 A 。

A.全局布线区B.通用逻辑块

C.输出布线区D.输入输出单元

二、填空题

1.(3AD.08)16=(__941.03125_______)10=(_1655.02____)8

2.CMOS的最基本的逻辑单元是由____PMOS管_____和_NMOS管________按

照互补对称形式连接起来构成的。

3.二值逻辑中,变量的取值不表示__数量的大小_,而是指两种状态

______。

4.描述时序电路的逻辑表达式为_输出方程________、_状态方程____和驱

动方程。

5.用组合电路构成多位二进制数加法器有__串行进位加法器_______和__

超前进位加法器___二种类型。

6.十进制数(119)10转换为八进制数是167 ,二进制数

(0011101010110100)2转换成十六进制数是 3AB4 。

7.组合逻辑电路在结构上不存在输出到输入的反馈通路,因此输出

状态不影响输入状态。

8.译码器的逻辑功能是将某一时刻的多个输入信号译成唯一的输

出信号,因此通常称为多一译码器。

9.按照数据写入方式特点的不同,ROM可分为掩膜ROM,_PROM________,

___EPROM______。

10.时序逻辑电路的特点是,任意时刻的输出不仅取决于该时刻的输入信

号,而且还与电路状态有关,因此时序逻辑电路具有记忆存储功能。

11.一个ROM的存储矩阵有64行、64列,则存储矩阵的存储容量为216个

存储元。

12.低密度的PLD由输入缓冲器、与阵列、或阵列、输出缓

冲器四部分功能电路组成。

13.十进制数(0.7875)10转换成八进制数是 0.623 ,十六进制数(1C4)

16转换成十进制数是 452 。

14.伴随着PLD 器件出现,逻辑函数的表示方法开始使用

硬件描述语言法。

15.门电路的输入、输出高电平赋值为 0 ,低电平赋值为 1 ,这种关

系是负逻辑关系。

16.组合逻辑电路的输出只与当时的输入状态有关,而与电路的

过去输入状态无关。

17.实现译码功能的组合逻辑电路称为译码器,用来完成编码工作

的组合逻辑电路称为编码器。

18.时序逻辑电路的输出不仅和当时输入有关,而且和

输入状态有关。

19.PLA是将ROM中的地址译码器改为乘积项发生器的一种可编程

逻辑器件,其与或阵列均可编程。

20.数字ISP逻辑器件有 ispISI 、 ispGDS 、ispGAL三类。

21.十进制数(0.7875)10转换成八进制数是 0.623 ,十六进制数(1C4)

16转换成十进制数是 452 。

22.Moore和 MEALY 型时序电路的本质区别是输出与输入

是否直接相关。

23.逻辑门电路的输入端个数称为它的(扇入)系数,门电路带同

类门数量的多少称为它的(扇出)系数。

24.组合逻辑电路在任意时刻的( 电路的输出 )取决于(当时

的输入)。

25.设计多输出组合逻辑电路时,只有充分考虑(其余部分输出函

数),才能使电路达到( 最简 )。

26.Mealy型时序逻辑电路的输出是(输入和状态)的函数,

Moore型时序逻辑电路的输出是(状态)的函数。

27.化简完全确定( 状态图 )引用了状态(等效)的

概念。

28.一个Mealy型“0011”序列检测器的最简状态表中包含( 4 )个状

态,电路中有( 2 )个触发器。

29.消除组合逻辑电路中险象的常用方法有增加惯性延时环节、(增加冗

余项)和(选通法)三种。

30.时序逻辑电路按其状态改变是否受统一时种信号控制,可将其分为

(同步时序逻辑电路)和(异步时序逻辑电路)两种类型。

31.(00101001)2 = ( 29 )16 =(41)10= ( 0100,0001 )BCD 32.锁存器或触发器在电路上具有两个稳定的物理状态,我们把输入信号变化之前的状态称为_现态_______,输入信号变化后的状态称为__次态______。

33.逻辑电路中存在竞争现象。我们通常,把不产生错误输出的竞争称为__非临界竞争_____,导致错误输出的竞争称为__临界竞争_______。34.PLD称为_可编程逻辑器件_______,它是有与阵列和_或阵列__组成的可编程阵列组成。

35. 时序逻辑电路的输出不仅和_输入__有关,而且和状态_有关。

36. 时序逻辑电路按照其状态的改变方式不同,分为__同步时序__电路和_异步时序___电路。

37. 门电路的输入、输出高电平赋值为_0_,低电平赋值为__1__,这种关系称为负逻辑关系。

38. ROM由地址译码器,_存储电路___,__输出电路__三部分功能电路组成。

39.组合逻辑电路在结构上不存在输出到输入的反馈通路,因此输出状态不影响输入状态。

40.二值逻辑中,变量的取值不表示_数量大小___,而是指__状态_____。

41.锁存器或触发器在电路上具有两个稳定的物理状态,我们把输入信号变化之前的状态称为( 现态),输入信号变化后的状态称为( 次态)。

42.数字逻辑电路可分为( 组合逻辑电路) 和( 时序逻辑电路) 两大类。

43.时序逻辑电路按其状态改变是否受统一时种信号控制,可将其分为(同步时序)和(异步时序)两种类型。

43 随机读写存储器,根据存储元的存储机理的不同,分为( SRAM )和( DRAM )两类,它们是易失型存储器。

44.门电路的输入、输出高电平赋值为( 0 ),低电平赋值为( 1 ),这种关系称为负逻辑关系。

45.全加器是一种实现两个一位二进制数以及来自低位的进位相加,产生(和)及(进位位)功能的逻辑电路。

46.实现译码功能的组合逻辑电路称为( 译码器),每输入一组不同的代码,只有( 1 )个输出呈现有效状态。

47.门电路的输入、输出高电平赋值为( 0 ),低电平赋值为( 1 ),这种关系称为负逻辑关系。

48.在同步时序逻辑电路中,触发器的输出称为(状态)变量,触发器的输入又称为(激励)信号。

49.PLD称为可编程逻辑器件,它是有(与)阵列和( 或) 阵列组成的可编程阵列组成。

50.实现译码功能的组合逻辑电路称为( 译码器),每输入一组不同的代码,只有( 1 )个输出呈现有效状态。

51.ispLSI器件一般包括哪些主要部分?

答:该器件由两个巨块、一个全局布线区和一个时钟分配网络构成。(3分)

主要模块功能:

(1)全局布线区GRP;(2)通用逻辑块GLB;(3)输出布线区ORP;输入输出单元IOC;(5) 巨块的输出使能控制电路 ;(6) 时钟分配网络(2分)

52.请说出SRAM和DRAM在本质上的不同。

答:DRAM表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM拥有更高的密度,常常用于PC中的主存储器。

SRAM是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM要快。SRAM常常用于高速缓冲存储器,因为它有更高的速率;

53.说明FLASH存储器在理论和技术上的创新和特点。

答:Flash也是一种非易失性的内存,属于EEPROM的改进产品。FLASH 是结合EPROM和EEPROM技术达到的,FLASH使用雪崩热电子注入方式来编程。主要特点是,FLASH对芯片提供大块或整块的擦除,而EEPROM 则可以一次只擦除一个字节(Byte)。这就降低了设计的复杂性,它可以不要EEPROM单元里多余的晶体管,所以可以做到高集成度,大容量,另FLASH 的浮栅工艺上也不同,写入速度更快。

54.双稳态触发器的基本特征是什么?

答:双稳态触发器具有以下特性:

(1)有两个互补的辅出端Q和Q。当Q=1时,Q=0;当Q=0时,Q=1。

(2)有两个稳定状态。Q=1,Q=0时称为“1”状态,反之称为“0”状态。

(3)在辅入信号的作用下,触发器可以从一个稳定状态转换到另一个稳定状态。

2.用3-8译码器和与非门实现全加器的功能 答:

S i =∑m(1,2,4,7)= 7421m m m m +++=7421m m m m ??? C i =∑m(3,5,6,7)= 7653m m m m +++=7653m m m m ???

令Y i =m i

3.已知某同步时序逻辑电路状态转移图如下图所示,要求:

1. 采用D 触发器,列出状态转移真值表。 2. 写出激励方程表达式和输出函数表达式。

X/Z

3. 画出逻辑电路图。

课堂上已讲解过了(省略)

用卡诺图求出Q 2(n+1)

,Q 1

(n+1 )

,Z

4. 用公式和定理化简

Y(A ,B ,C ,D)= CD D AC ABC C A +++ 解:

5.已知逻辑函数

F(A ,B ,C ,D)=∑m(2,3,9,11,12)+∑d(5,6,7,8, 10,13) (1) 将函数移植到卡诺图上。

(2) 化简求出最简“与-或”表达式。

(3) 化简求出最简“或-与”表达式。

答: 卡诺图化简(4分)

最简“与-或”表达式为: C B C A F += (3分) 最简“或-与”表达式为: )C B (C)(A F +?+= (3分)

6.用代数法将逻辑函数F 化简为最简“与或”表达式。 ()C B A )C B A ()C B (A C ,B ,A F +++=

7.分析下图所示逻辑电路的功能。写出表达式并进行简化

F = AB BABC CABC

= AB + AC + BC + BC

= AB + BC + BC

8.设计一个组合电路,用来判断输入的四位8421BCD码A,B,C,D当其值大于或等于5时,输出为1,反之输出为0

答:○1列出真值表:(4分)

○2由真值表可写出输出函数表达式为

F(A,B,C,D)=∑m(5~9)+∑d(10~15)

4分)○3逻辑电路图:(2分)

(注:2种表达式及电路都算正确)

9.某机床共有4个电气开关(断为0,通为1),每一开关控制一个机器动作,生产某零件需8道工序,每道工序的开关通断列表如下,要求

设计开关K2的组合电路,写出K2的方程,并用一块3-8线译码器(74LS138)及适当门电路实现。

答:设8道工序需3位二进制数A2A1A0编码

K2(A2,A1,A0)=∑m(3,5,7) (5分)

10.分析下图所示用8路数据选择器构成的逻辑电路,写出逻辑表达式。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑2013期末复习

数字逻辑 2013期末复习一 一、单项选择题 1、n 个变量可构成( 3 )个最小项。 (1)、2n (2)2n-1 (3)n 2 (4)1 2 -n 2、集电极开路(OC )门电路如下所示,该电路实现的逻辑功能是( 1 )。 (1)DE ABC ? (2)ABCDE (3)DE ABC +(4)DE ABC ? 3、若两个逻辑函数表达式的对偶式F`和G`相等,则逻辑函数F 和G ( 1 )。 (1)相等 (2)不相等 (3)可能等也可能不等 (4)互补 4、为了实现将D 触发器转换为JK 触发器,D 应等于( 1 )。 (1)Q K Q J + (2)Q K JQ ?+ (3)Q K Q J + (4)Q K JQ ?+ 5、构成一个6进制加法计数器,至少需要( 2 )个触发器。 (1)2个 (2)3个 (3)4个 (4)5个 6、对同一逻辑门电路,分别使用正逻辑和负逻辑表示输入和输出之间的关系,其表达式( 2 )。 (1) 互为反函数 (2) 互为对偶式 (3)相等 (4) 答案都不对 7、F (A,B,C,D)=AB+CD,它包含的最小项个数是( 3 ) (1) 2个 (2) 4个 (3) 7个 (4) 8个 8、下列编码是有效余三码的是( 3 )。 (1) 1111 (2) 0000 (3) 1010 (4) 0010 9、F(A,B,C,D)=AB+CD ,变量A,B,C 哪个取值组合能使F=1。( 2 ) (1) 0000 (2) 0011 (3) 0101 (4) 1010 10、八进制数(175.236)8的十六制数是( 1 )。 (1) 16 (7.4)D F (2) 16 (7.4)D E (3) 16 (7.4)C F (4) 16 (7.3)D F 11、下列逻辑函数中,与(A+B )(A+C)等价的是( 3 )。 (1) F=AB (2)F=A+B(3) A+BC (4) F= B+C 12、函数F 的卡诺图如图1-1,其最简与或表达式是( 4 )。 (1)D B A D B A F +=D C A + (2)D B A D C A C B A F ++=

2014-2015数字逻辑试卷

数字电路与逻辑设计期末考试样题 一、TO FILL YOUR ANSWERS IN THE “( )”(1’ X 5) 1. An unused CMOS NAND gate input should be tied to logic ( ) or another input. 2. DAC can proportionally convert ( ) input to analog signal output. 512 3. A truth table for a ( ) input, 4-output combinational logic function could be stored in a 4 EPROM. 4. The RCO output of 74X163 is asserted if and only if the enable signal ( )is asserted and the counter is in state …1111?. 5. If the signed-magnitude representation is(001101)2 for one number, then it?s 8-bit two?s complement representation is()2. 二、Single selection problems: there is only one correct answer in the following questions.(2’ X 5) 1、An 8-output demultiplexer has ( ) select inputs. A. 2 B. 3 C. 4 D. 5 2、For a logical function ,which representation as follows is one and only(唯一). ( ) A. logic expression B. logic diagram C. truth table D. timing diagram 3、In general, to complete the same function, compared to a MOORE machine, the MEAL Y machine has ()。 A. more states B. fewer states C. more flip-flops D. fewer flip-flops 4、To design a “1000001” serial sequence generator by shift registers, at least needs a ( ) bit shift register. A. 2 B. 3 C. 4 D.5 5、The following logic expressions is equal, and the hazard-free one is ( ). A. F=B?C?+AC+A?B B. F=A?C?+BC+AB? C. F=A?C?+BC+AB?+A?B D. F=B?C?+AC+A?B+BC+AB?+A?C?

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑试卷(A)

数字逻辑试卷(A) 1.十进制数的特点一是( 逢十进一 ),二是有( 十 )个计数符号 2. R 进制数R M 可表示为R M = ∑--=1 n m i i a ( R ) 3. (15.75)10 =( 1111.11 )8 4. (562)10 =( 0101 0110 0010 )8421BCD 5.(1010.10)2 =( (A.8)16 )16 6.每位八进制数可用( 3 )位二进制数表示。 7.ASCII 码是七位二进制代码,最多可以表示( 128 )个字符。 8.最基本的逻辑门电路是( 与 )门、( 或 )门和( 非 )门。 9.8个变量有( 28 )个最小项。 10.施密特触发器V +≠V -称之为( 滞后 )特性。 二、判断(每小题1分,本大题10分) 1.循环码也是BCD 码。( n ) 2. 同或是异或的反。( y ) 3. 1=+ABCD D C B A ( n ) 4.组合电路是各种门电路构成的,不包含触发器。( y ) 5.二进制译码器给定输入,所有输出中只有一个是有效电平。( y ) 6.优先编码器允许多个输入同时有效。( y ) 7.边沿触发器的状态变化只能发生在CP 有效边沿到达的一瞬间,在CP 的高电平、低电平期间以及无效边沿时触发器状态不变。( y ) 8.异步时序电路无统一的时钟。( y ) 9.Mealy 型时序电路的输出是输入和现态的函数。( y ) 10.多谐振荡器需要外加触发信号才能产生矩形波输出。( n ) 三、单项选择(将正确选择的编号填入括号中,每小题1分,本大题10分) 1.下列BCD 码哪个是无权码?(B .余3码 ) 2.逻辑函数的哪种表示方式是唯一的?(B .真值表) 3.下列哪种门输出端不能直接并联?( C .普通与非门) 4.下列哪种电路在输出端可以得到输入变量的全体最小项?(A .二进制译码器 ) 5.二——十进制编码器有十个输入,有几个输出?( B.4个 )

数字逻辑复习题

姓名: 学号: 班级: 院(部): 系(教研室)主任签字: 教学院长(主任)签字:

姓名:学号:班级:28.函数F的卡诺图如图所示,其最简与或表达式是【 D 】。 A. D B A D B A F+ =D C A + B.D B A D C A C B A F+ + = C.D C A D B A C B A F+ + = D.D B A D B A D B A F+ + = 29.用四选一数据选择器实现函数Y=0 1 1 A A A A+,应使【 A 】。 A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0 C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=0 30.下图所示的组合逻辑电路,其函数表达式为【 A 】。 A.F AB BD CD =++ B.(0,4,5,7,8,12,13,14,15) F m =∑ C. (1,2,3,6,9,,10,11) F m =∑ D.(0,8,12,14,15) F m =∑ 31.时序电路中不可缺少的部分为【 B 】。 A.组合电路 B.记忆电路 C.同步时钟信号 D.组合电路和记忆电路 32.n个触发器构成的计数器中,有效状态最多有【 D 】个。 A.n B.2n C.2n-1 D. 2n 33.把一个五进制计数器与一个四进制计数器串联可得到【 D 】进制 计数器。 A.4 B.5 C.9 D.20

学号: 班级: A B 等价的逻辑函数为【 A 】。 ∑(0,5)

学号: 班级: 第7 页共8 页第8 页共8 页

姓名: 学号: 班级: 第 9 页 共 8 页 第 10 页 共 8 页 的惟一输出有效电平是【

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

数字逻辑试卷

东莞理工学院(本科)试卷(A 卷) 2008 --2009 学年第一学期 《数字逻辑》试卷 开课单位: 计算机学院 ,考试形式:闭卷,允许带 入场 题序 一 二 三 四 五 六 七 八 总 分 得分 评卷人 一、 填空题(共40分,每题2 分) 1、十进制数126.625的二进制编码 ,十六进制编码 。 2、十进制数15的BCD 码 ,余3码 。 3、已知[N]补= 10100000,则其[N]原= 。 4、逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。(填选项代号) A 、G F = B 、G F =' C 、G F =' D 、1G F ⊕= 5、某存储器地址线为A 0-A 11,数据线为D 0-D 7,该存储器容量为 字节。 6、消除函数 的竞争冒险,应增加冗余项 。 7、实验时,TTL 芯片发烫,不可能的原因是 。 A 、插反芯片 B 、电源使用12V C 、电源与地短路 D 、电源使用4V 8 、 常 用 两 种 集 成 同 步 时 序 电 路 器 件 、 。 9、脉冲异步时序电路中,触发器状态的变化 (是、不是)同时发生的。 10、某同步时序电路,状态转移图如图所示,其功能 得分

是。 11、555定时器的功能有、、。 12、超前进位加法器与串行进位加法器相比,速度。 13、8位ADC输入满量程为10V,当输入5V电压值,数字输出量为。 14、芯片74LS32如下图所示,内含个输入端的门。 15、若要某共阴极数码管显示数字“5”,则显示代码abcdefg为。(0000000~1111111) 16、与TTL门电路相比,CMOS门电路功耗(大、小),速度(快、慢)。 17、电可擦可编程存储器是。 A.ROM B.PROM C.EPROM D.EEPROM 18、在下列电路中不是组合逻辑电路的是。 A、译码器 B、编码器 C、全加器 D、寄存器 19、触发器按结构可分为基本触发器、触发器、触 发器、触发器等。 20、与普通门电路不同,OC门在工作时需要外接和。 二、逻辑函数简化(共14分)得分

[vip专享]2013数字逻辑复习题

第一章 基本知识 1. 八进制(273)8中,它的第三位数2 的位权为___ ___。A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 数字系统中,采用____ ____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码3.数制转换 (11.001)2=( )16=( )10(8F.FF)16=( )2=( )10( 25.7)10=( )2=( )16(+1011B)原码=( )反码=( )补码 (-101010B)原码=( )反码=( )补码4.(30.7)10=( )余三码=( )8421BCD 5.下列数码均代表十进制数6,其中按余3码编码的是 A .0110 B. 1100 C.1001 D.0101 第二章 逻辑代数基础 1. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数0表达式____ ____。A .AB F = B . C AB F += C .C A AB F += D . B AB F +=2. 与逻辑函数D C B A F +++= 功能相等的表达式为__ _____。 A . D C B A F +++= B . D C B A F +++=C . D .D C B A F =D C B A F ++=3.逻辑函数有四种表示方法,它们分别是 。4. =⊕⊕ 1B A 5.基本逻辑运算有: 、 和 运算。 6.两二进制数相加时,不考虑低位的进位信号是 加器。 7.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全 加器。 8.运用代数法化简下列函数 Y ABC ABC ABC =++C B A C B A Y ++=9.在四变量卡诺图中,逻辑上不相邻的一组最小项为: A. m1与m3 B.m4与m6 C. m5与m13 D. m2与m810.L=AB+C 的对偶式为:( ) 11.函数L=AC+ABC 的对偶式为:

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

“数字逻辑”试题复习资料

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字逻辑习题答案-毛法尧-第二版

数字逻辑习题答案-毛法尧-第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×

16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵ (0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶ (33.333)10=(21.553F7)16=(100001.010101)2=(41.252 37)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011] =0.1011 补 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算:

2014数字逻辑复习题

一、选择题 1.组合逻辑电路通常由( A )构成。 A .门电路; B .触发器; C .计数器; D .寄存器。 2.十进制数9的8421BCD 码是( D )。 A .1011; B .1010; C .1100; D .1001。 3.逻辑函数的表示方法中具有唯一性的是( A )。 A.真值表; B.逻辑表达式; C.逻辑图; D.VHDL 语言。 4.变量ABCDE 取值为10011时,某最小项的值为1,则此最小项是( C )。 A.ABCDE ; B. CDE B A ; C. DE C B A ; D. E BCD A 。 5.下面器件中,( C )是易失性存储器。 A. FLASH ; B.EPROM ; C.DRAM ; D.PROM 。 6.一个四位二进制减法计数器的起始值为1001,经过100个时钟脉冲后的值是( D )。 A . 1100; B .0100; C .1101; D .0101。 7.能实现从多个输入端中选出一路作为输出的电路称为( C )。 A.触发器; B.计数器; C.数据选择器; D.译码器。 8.下面器件中,( B )是非易失性存储器。 A. RAM ; B.EEPROM ; C.DRAM ; D.SRAM 。 9.下列电路中,不属于组合逻辑电路的是( D )。 A .编码器; B .译码器; C .数据选择器; D .计数器。 10.十进制数1997的十六进制数是( A )。 A. 7CDH ; B. 8CEH ; C.9ABH ; D.747H 。 11.实现一个十进制的可逆计数器,至少需要( 4 )个触发器。 A.3; B. 4; C. 5; D.6。 12.十六路数据选择器的地址输入端有( 4 )个。 A.16个; B.2个; C.4个; D.8个。 13.32K×8位SRAM 芯片,地址线和数据线分别为( C )条 A .32和8; B .16和8; C .15和8; D .14和8。 14.某RAM 的地址线为11位, 数据线为8位,则存储容量为( 2 )KB 。 A.16; B. 8; C. 4; D. 2 15.JK 触发器在CP 脉冲作用下,欲使Q n+1 =Qn ——— ,则输入信号应为( C )。

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑复习题

一、写出二进制数1110001.11对应的八进制、十进制、十六进制和8421BCD 码形式的数值。 (1110001.11)2 = (161.6)8 = (113.75)10 = (71.C)16 =(0001 0001 0011.01110101)8421BCD (6C.8)16=(1101100.1)2 = (154.4)8 = (108.5)10 =(0001 0000 1000. 0101)8421BCD (10011000)8421BCD =(1100010 )2=( 98 )10=( 62 )16。 二、下图所示各电路均由TTL 门组成,已知R on =3.2K Ω,R off =0.91K Ω,试分别写出Y 1-Y 4的逻辑函数表达式。 A B Y 1 2 A B 4K 3 Y 4 Y 1-Y 4的逻辑函数表达式 B A Y =1 D C B A Y ?=2 B A B A Y =??=)1)(0(3 C B A C B A Y +=4

Y 1 Y 2 A B 3 9K Y 4 Y 1-Y 4的逻辑函数表达式 B A 1=Y D C B A Y ?=2 0)1()0(3=+++=B A Y C C 4B A B A Y += A B 1 1 B A + A A B A B A

A B 1 AB B A 1 三、 1.要将一D 触发器转换为JK 触发器,则应令D= n n Q K Q J + 2.上升沿触发的JK 触发器输入端波形(D R 为异步清0端,D S 为异步置数端)如下图所示,试画出输出端Q 的工作波形。 CP Q S D R D J K ↑?+=+CP Q K Q J Q n n n )(1 CP Q S D R D J K 3.上升沿触发的D 触发器输入端波形(D R 为异步清0端,D S 为异步置数端)如下图所示,试画出输出端Q 的工作波形。

数字逻辑试题

本科试卷(十) 一、选择题(每小题2分,共30分) 1.下面逻辑式中,正确的是________。 A . B. C. D. 2.逻辑函数F=A (A B )的值是________。 A . B B. A C .A B D. 3.与最小项表达式F(A,B,C)=m 0+m 2+m 5+m 7等价的逻辑函数为________。 A. F=A ⊙C B. C. D.F=∑(0,5) 4. 、 、 、 、 是五个开关,设它们闭合时为逻辑1,断开时为逻辑0,电灯 F=1时表示灯亮,F=0时表示灯灭。若在五个不同的地方控制同一个电灯的灭亮,逻辑函数F 的表达式是_______。 A . B. C. D. ⊙⊙⊙⊙ 5.用低电平为输出有效的译码器实现组合逻辑电路时,还需要_______。 A .与非门 B.或非门 C.与门 D.或门 6.逻辑函数,当变量的取值为______时,不出现冒险现象。 A .B=C=1 B .B=C=0 C .A=1 ,C=0 D .A=0,B=0 7.集成计数器的模值是固定的,但可以用_______来改变它们的模值。 A.复0和复9 B.置数法和复位法 C.改变初值法 D. 控制CP 脉冲 8.同步时序电路和异步时序电路比较,其差异在于后者_____。 A .没有触发器 B .没有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9.有S1,S2两个状态,在相同输入条件下_____,可确定S1和S2不等价。 A .输出相同 B .输出不同 C .状态相同 D .状态不同 10.一个T 触发器,在T=1时,加上时钟脉冲,则触发器_____。 A .保持原态 B .置0 C .置1 D .翻转 11.下面说法错误的是_______。 A .一个RAM 有三组信号线,地址线,数据线,读/写命令线。 B .RAM 中地址线是双向的,它传送地址码,以便按地址码访问存储单元。 C .RAM 中数据线是双向的。 D .RAM 中读写命令线是单向的,它是控制线。 A B A B ⊕= 1A A +=0A A ?=1A A +=⊕⊕⊕A B C B A BC A F +=C A C A F +=1 a 2 a 3 a 4 a 5 a 12345 a a a a a 12345 a a a a a ++++12345 a a a a a ⊕⊕⊕⊕1a 2a 3a 4a 5a F A C AB BC =++

数字逻辑复习总结题B1101

数字逻辑复习总结题B1101 1 / 6 数字逻辑复习题 一.填空题 1.(X )10=( )2=( )16 2.直接用反演定理写出函数F=XXXX 的反函数=F 。 3.直接利用对偶定理写出函数F=XXXX 的对偶函数F*= 。 4.TTL 与非门不用的输入端应该 。 5.TTL 与非门的电源电压Vcc= V ,输出的高电平典型值为V OH =( )V ;输出的低电平的典型值为V OL = V 。 6.图1所示电路是TTL 门电路。当EN=1时,电路输出的高电平值为 V ,低电平值为 V ;当EN=0时,电路的输出状态为 。画出它的逻辑符号 。 7.移知n 位权电阻网络的输出电压为 ∑-=?-=10 )2(2n i i i n REF O D V v 。设4位权电阻网络DAC 的基准电压V REF = -4V ,当输入的二进 制数为1010时,转换器的输出电压为 V 。 8.随机存储器由 、 和 组成。 9.能够实现比较数值大小的电路,称为 。 10.设TTL 与非门1和2的功能分别为CD F AB F ==21,,则21F F F ?=称为 ,能够实现这一功能的门电路应该选用 。 11.既能够寄存代码,又能够在 作用下使代码左移、右移的寄存器称为移位寄存器。 12.ROM 是 的英文缩写。 13.右图所示,当0=EN 时,F = ;当1=EN 时,F = 。 14.二-十进制译码器有 个输入端, 个输出端。 15.DAC 是 的英文缩写。 16.6116是2K ×8位的SRAM 。6116有 根地址线, 根数据线。 17.27128是16K ×8位的EPROM 。27128有 根地址线, 根数据线。 图 1

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

相关文档 最新文档