文档库 最新最全的文档下载
当前位置:文档库 › 数字电路作业

数字电路作业

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity zuoye2 is

port(

sin: IN std_logic_vector(1 DOWNTO 0);

reset,clock : IN std_logic;

sout : OUT std_logic

);

end zuoye2;

ARCHITECTURE behav of zuoye2 is

type state is (st_0, st_1, st_2, st_3, st_4); SIGNAL m:std_logic;

BEGIN

m <= sin(0) xnor sin(1);

PROCESS(reset, clock)

VARIABLE temp: state;

BEGIN

IF reset='0' or m='0' THEN

temp:= st_0;

ELSIF(clock'event AND clock='1')THEN

CASE temp is

WHEN st_0=>

IF m='1' THEN

temp := st_1;

ELSe temp := st_0;

END IF;

WHEN st_1=>

IF m='1' THEN temp := st_2;

ELSe temp := st_0;

END IF;

WHEN st_2=>

IF m='1' THEN temp := st_3;

ELSe temp := st_0;

END IF;

WHEN st_3=>

IF m='1' THEN temp := st_4;

ELSe temp := st_0;

END IF;

WHEN st_4=>

IF m='1' THEN temp := st_4;

ELSe temp := st_0;

END IF;

END CASE;

END IF;

IF temp=st_4 THEN sout<='1';

ELSe sout<='0';

END IF;

END PROCESS;

END behav;

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

数字电子技术基础B第4次作业

数字电子技术基础B第4次作业 四、主观题 1.A/D与D/A是计算机等数字系统中不可缺少的接口电路,D/A转换器是把数字信号转换成模拟信号的电路;A/D转换器是把模拟信号转换成数字信号的电路;转换精度和转换速度是衡量它们的重要技术指标。 2. 4位D/A转换器当输入数字量1000为5v。若只有最低位为高电平,则输出电压为5/8(或0.625V)v;当输入数字量达到最大时,则输出电压为75/8(或9.375V)v。 3.某信号采集系统要对热电偶的输出电压进行A/D转换。已知热电偶输出电压范围为0~25mV(对应于0~450℃温度范围),需分辨的温度为0.1℃,则应选择13 位的A/D转换器。 4.输入信号最大电压为5V,8位A/D转换器能区分的最小输入电压是;若改用10位A/D转换器,最小可分辨电压是。 5. EPROM、E2PROM和Flash Memory都是可擦除可编程存储器,其中EPROM是光擦除,E2PROM 是电擦除,Flash Memory是电擦除。 6. PLD的中文是可编程逻辑器件,其基本结构包括输入缓冲电路、与阵列、或阵列和输出电路。 7. CPLD的中文是复杂可编程逻辑器件,FPGA的中文是现场可编程门阵列。 8.设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少(以十六进制表示)? (1) 2K×1 (2) 16K×4 (3) 256K×32 解:(1) 7FFH (2) 3FFFH (3) 3FFFFH 9.某计算机的内存储器设置有32位地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少 解:最大存储量为232×16 = 230×64= 64G(bit) 10.

201309学期数字电路作业1

201309学期数字电路作业1 单项选择题 第1题二进制数11101101.1其对应的余三码是: A、10101111010.1 B、10101101011.1 C、10111101010.1 D、10101101010.1 答案:D 第2题与代数式A+AB相等的是: A、B+A B、A C、B D、B(A+B) 答案:B 第3题已知输入A,B为与逻辑关系,当输出为1时,AB可能的值是: A、A=1,B=0 B、A=1,B=1 C、A=0,B=0 D、A=0,B=1 答案:B 第4题数字电路是工作在数字信号下的电子电路,其数字信号特点是: A、时间和数量上都是离散的 B、时间离散,数量上连续 C、时间连续,数量上离散 D、时间和数量都是连续 答案:A 第5题十进制数为16,转换为二进制代码为( )。 A、11110 B、10001 C、11000 D、10000 答案:D 第6题实现非逻辑运算的单元电路为: A、转换器 B、比较器 C、发生器 D、反相器 答案:D 第7题基本逻辑电路,不包括: A、“与”门 B、“或”门 C、“非”门 D、“与或”门 答案:D

第8题二极管或门电路主要用于: A、集成电路内部的逻辑单元 B、集成电路外部的逻辑单元 C、分立元件门电路内部的逻辑单元 D、分立元件门电路外部的逻辑单元 答案:A 第9题TTL集成门电路传输延迟时间是的输出波形比输入: A、超前 B、滞后 C、无影响 D、不能确定 答案:B 第10题对于TTL门电路,逻辑低电平时输入端电阻与关门电阻的关系为: A、大于 B、小于 C、等于 D、无法确定 答案:B 多项选择题 第11题在以下选项中选出为数字电路的: A、运算放大器 B、计数器 C、译码器 D、加法器 答案:B|C|D 第12题在以下选项中选出逻辑表达式的组成部分: A、逻辑变量 B、逻辑常数0与1 C、逻辑运算符 D、括号 答案:A|B|C|D 第13题已知输入A,B为与逻辑关系,当输出为0时,AB可能的值是: A、A=1,B=0 B、A=1,B=1 C、A=0,B=0 D、A=0,B=1 答案:A|C|D 第14题下列选项中属于二极管与门电路的缺点是: A、结构简单 B、成本低 C、作输出时发生电平漂移 D、负载电阻的改变会影响输出电平的高低 答案:C|D 第15题下列选项中属于二极管或门电路的特点是:

川大2020《数字电子技术》第二次作业答案

首页 - 我的作业列表 - 《数字电子技术》第二次作业答案 说明:每道小题选项旁的标识是标准答案。 一、单项选择题。本大题共20个小题,每小题 3.5 分,共70.0分。在每小题给出的选项中,只有一项是符合题目要求的。 1. A. B. C. D. 2. A. A B. C.A+B D. 3. A. B. C.

D. 4.在逻辑代数中,若A+B=B+C,则:() A.不能确定 B.A≠C C.A=C D.B=0 5.以下电路中常用于总线应用的有()。 A.OC门 B.TSL门 C.漏极开路门 D.CMOS与非门 6.CMOS数字集成电路与TTL数字集成电路相比突出的优点是()。 A.高抗干扰能力 B.高速度 C.微功耗 D.电源范围宽 7. A.同步四进制计数器 B.同步六进制计数器 C.同步八进制计数器

D.同步五进制计数器 8.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T()。 A.0 B. 1 C.Q D. 9. A.0 B. 1 C.Q D. 10.下列逻辑电路中为时序逻辑电路的是()。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 11.石英晶体多谐振荡器的突出优点是()。 A.速度高 B.振荡频率稳定 C.电路简单 D.输出波形边沿陡峭 12.一个容量为1K×8的存储器有()个存储单元。

A.8192 B.8K C.8000 D.8 13.一个无符号8位数字量输入的DAC,其分辨率为()位。 A. 1 B. 3 C. 4 D.8 14.十进制数25用8421BCD码表示为()。 A.10 101 B.0010 0101 C.100101 D.10101 15.与八进制数(47.3) 8 等值的数为()。 A.(100111.011) 2 B.(27.8) 16 C.(27.3 ) 16 D.(100111.11) 2 16. A.D 0=D 1 =0,D 2 =D 3 =1 B.D 0=D 2 =1,D 1 =D 3 =0 C.D 0=D 2 =0,D 1 =D 3 =1

数字电路第1次作业

数字电路第1次作业 一、不定项选择题(有不定个选项正确,共15道小题) 1. 图3.1所示门电路的输出为 图3.1 (A) 高电平 (B) 低电平 (C) 不确定 正确答案:B 2. 图 3.2所示门电路的输出为。 图3.2 (A) 高电平 (B) 低电平 (C) 不确定 正确答案:A 3. 图3.3所示三态门,EN为使能端,当A=1,EN=0时,输出为 图3.3 (A) 逻辑1 (B) 逻辑0 (C) 高阻态 正确答案:C 4. CMOS数字集成电路与TTL数字集成电路相比突出的优点是。 (A) 低功耗 (B) 高速度 (C) 抗干扰能力强 (D) 电源范围宽 正确答案:A C D 5. 逻辑变量的取值1和0可以表示 (A) 开关的闭合、断开 (B) 电位的高、低 (C) 真与假 (D) 电流的有、无 正确答案:A B C D

6. 以下代码中为无权码的为 (A) 8421BCD码 (B) 5421BCD码 (C) 余三码 (D) 格雷码 正确答案:C D 7. 与十进制数(53)10等值的数或代码为。 (A) (0101 0011)8421BCD (B) (35)16 (C) (110101)2 (D) (65)8 正确答案:A B C D 8. 当逻辑函数有n个变量时,共有个变量取值组合。 (A) n (B) 2n (C) n2 (D) 2n 正确答案:D 9. 逻辑函数,它们之间的关系是__ _。 (A) F1= F2 (B) 互为反函数 (C) 互为对偶式 (D) 无法确定 正确答案:A 10. 逻辑函数的表示方法中具有唯一性的是 (A) 表达式 (B) 真值表 (C) 逻辑图 (D) 卡诺图 正确答案:B D 11. 下列各式中是四变量A,B,C,D的最小项。 (A) A+B+C+D (B) ACD (C) (D) AC+BD 正确答案:C 12. 某一逻辑函数真值表确定后,下面该函数的表达式中具有唯一性的是。 (A) 最简与或式 (B) 最简或与式

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

16年春《数字电子技术》第一次作业答案

我的作业列表- 《数字电子技术》第一次作业答案 你的得分: 100.0 完成日期:2016年05月21日 14点44分 说明:每道小题选项旁的标识是标准答案。 一、单项选择题。本大题共17个小题,每小题 4.0 分,共68.0分。在每小题给出的选项中,只有一项是符合题目要求的。 1.逻辑函数F=AB+AB和G=A + B满足关系。() A.F=G' B.F=G C.F' =G' D.F' = G' 2.引起组合逻辑电路竞争与冒险的原因是() A.逻辑关系错 B.干扰信号 C.电路延时 D.电源不稳定 3.三输入八输出译码器,对任一组输入值其有效输出个数为() A.3个 B.8个 C.1个 D.11个 4.一个四位并行加法器T693的输入端输出端的个数为( B,易) A.4入4出 B.8入4出 C.9入5出 D.8入5出 5.指出下列各式中哪个是四变量A,B,C,D的最小项() A.ABC B.A+B+C+D C.ABCD

D.A+B+D 6. A.与非门 B.或非门 C.异或门 D.同或门 7. A.L=(A+B)·C B.L=AB+C C.L=(A+B)·C D. 8.用555定时器构成单稳态触发器,其输出脉宽为() A.0.7RC B. 1.1RC C. 1.4RC D. 1.8RC 9.单稳态触发器可作() A.产生正弦波 B.延时

C.构成D触发器 D.构成JK触发器 10.在同步计数器中,各触发器状态改变时刻() A.相同 B.不相同 C.与触发器有关 D.与电平相同 11.用卡诺图化简 A. B. C. D. 12. A.

B. C. D. 13.应用74151实现如下的逻辑功能:Y=(A⊙B)⊙C,正确的连线电路为() A.

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

2017学期数字电路作业1

单项选择题 第1题当多个输入变量发生变化时,常常会发 生: A、静态险象 B、动态险象 C、函数险象 D、逻辑险象 答案:D 第2题主从RS触发器在CP的一个周期中触发器的输出状态能改变()。 A、1次 B、2次 C、3次 D、任意次 答案:A 第3题在时钟脉冲为1期间,选出允许输入信号改变的触发 器: A、主从RS触发器 B、主从JK触发器 C、主从型D触发器 D、都不对 答案:C 第4题寄存器由触发器组成,一个触发器能存放()位二进制 数码 A、1 B、0 C、2 D、无法确定 答案:A

第5题单稳态触发器具有()不同的工作状 态。 A、0 B、1 C、2 D、3 答案:C 第6题基本RS触发器的输入R=0,S=1,为一状态,当S回到0时,电路为: A、0状态 B、1状态 C、无法确定 D、以上说法都不对 答案:B 第7题时钟有效边沿到来时,输出状态和输入信号相同的触发器 叫: A、RS触发器 B、D触发器 C、T触发器 D、JK触发器 答案:B 第8题在 CP 脉冲作用下只具有保持和翻转功能的触发器是()触发 器。 A、JK触发器 B、T触发器 C、D触发器 D、RS触发器 答案:B

第9题一个4位移位寄存器可以构成最长计数器的长度 是: A、8 B、12 C、15 D、16 答案:D 第10题下列电路中,属于脉冲产生电路的 是: A、单稳态触发器 B、多谐振荡器 C、施密特触发器 D、编码器 答案:B 第11题基本RS触发器输入R=1,S=0时输出Q 为: A、0 B、1 C、无法判断 D、以上说法都不对 答案:A 第12题在 CP 脉冲作用下,只具有置0、置1和保持功能的触发器是()触发器。 A、JK触发器 B、T触发器 C、D触发器 D、RS触发器 答案:D

华工网络数字电子技术作业

第1章作业 为了将600份文件顺序编码,如果采用二进制代码,最少需要用几位?如果改用八进制或十六进制代码,则最少各需要用几位? 答:如用二进制最少需10位,用八进制最少需4位,用十六进制最少需3位 将下列二进制数转换为等值的十进制数。 (1)()2 ;(3)()2。 解(1)()2 = (3)()2 = 将下列二进制数转换为等值的八进制数和十六进制数。 (2)()2;(4)()2。 解:(2)()2=8=16 (4)()2=8=16 将下列十六进制数转换为等值的二进制数。 (1)()16;(3)()16。 解:()16=()2 ()16=(.)2 将下列十进制数转换为等值的二进制数和十六进制数。要求二进制数保留小数点以后4位有效数字。 (2)()10;(4)()10。 解(2): 用二进制补码运算计算下列各式。式中的4位二进制数是不带符号位的绝对值。如果和为负数,请求出负数的绝对值。(提示:所用补码的有效位数应足够表示代数和的最大绝对值。) (2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。 解: 第2章作业 已知逻辑函数的真值表如表(a )、(b )所示,试写出对应的逻辑函数式。 表(a ) 表(b ) 写出图(a )、(b )所示电路的输出逻辑函数式。 图 已知逻辑函数Y 的波形图如图所示,试求Y 的真值表和逻辑函数式。 图 将下列各函数式化为最小项之和的形式。 (1)C B AC BC A Y '++'= (3)CD B A Y ++= (5)L N N M M L Y '+'+'= 解: 将下列逻辑函数式化为与非–与非形式,并画出全部由与非逻辑单元组成的逻辑电路图。 (2)()()()' +'++'=BC C B A B A Y (4)()()' ??? ? ?+''+''+'=BC B A B A BC A Y 解: 电路图如下: 电路图如下: 将下列逻辑函数式化为或非–或非形式,并画出全部由或非逻辑单元组成的逻辑电路图。

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

数字电子技术作业(第5周)

. . 数字电子技术作业(第5周) 一、请选择正确答案,将其代号填入题末( )内; 1、仅用译码器(例如3线-8线译码器,4线-16线译码器)无法完成的逻辑功能为: A .算术运算; B .码组变换; C .数据分配; D .产生逻辑函数。 ( ) 2、欲组建6线-64线译码器,则需用图示3线-8线译码器: A .2片; B .6片; C .9片; D .12片。 ( ) 3、一个N 选1 MUX 的输入地址码的位数是: A .N ; B .2N ; C .log2N ; D .ln2N 。 ( ) 4、仅用数据选择器(例如8选1 MUX 、4选1 MUX )无法实现的逻辑功能是: A .数据延迟; B .数据并/串变换; C .数据选择; D .产生逻辑函数。 ( ) 5、若用4选1原码输出MUX ,实现函数F = P + Q 时,其中P 为地址高位,Q 为低位, 则输入数据D 0D 1D 2D 3应为: A .1101; B .1001; C .0110; D .1011。 ( ) 二、解答题 6、试用图示3线-8线译码器74LS138和门电路产生如下多输出逻辑函数,并画出必要的连线图。 Y AC Y ABC ABC BC Y BC ABC 123,,. ==++=+????? &EN 1 2 4BIN/OCT οο0123456 7οοο ο ο ο οο 7、试用4选1 MUX 和门电路实现函数 F (A ,B ,C ,D ) = ∑m (0,2,3,5,6,7,8,9) + ∑d (10,11,12,13,14,15)。 8、试仅用三片4 选1的数据选择器实现4变量逻辑函数。 F (A ,B ,C ,D )=∑m (1,5,6,7,9,11,12,13,14).

段成华数字集成电路第六次作业

数字集成系统第六次作业 1.For the VHDL model given below (Code List One), compare the FIFOs implementations on CPLD and FPGA. (1)Synthesize and verify (simulate) the VHDL design of the FIFOs; (2)For CPLD implementation (fit) of the FIFOs, how many MCs (macrocells) and PTs (product terms) are needed? Which parameter is critical to the maximum internal clock working frequency? Try to find out this critical parameter and its corresponding circuit path. (3)For FPGA implementation (place and route) of the FIFOs, how many LBs (logic blocks)? Which parameter is critical to the maximum internal clock working frequency? Try to find out this critical parameter and its corresponding circuit path. (4)Try to synthesize again the design with timing constraints and compare with its former counterparts. You will create the timing constraint file by yourself and add it to your project. Please refer to the following graphic interface of ISE: 2. For the VHDL model given below (Code List Two), there may be some design errors in it. Some warning(s) and/or error(s) information may be issued when synthesizing it. Try to find out such design errors and correct them. 1.根据段老师题目中提供的程序代码,并对此书写testbench, (1)综合后的RTL schematic和功能仿真后的结果分别如下图1和图2所示。

(9006)《数字电路》网上作业题及答案

1:[判断题]逻辑变量的取值可以是0,1,2。 参考答案:错误 2:[判断题]基本的逻辑运算只有与、或、非三种。 参考答案:正确 3:[判断题]在八进制数中,每一位用1-8八个数码表示。 参考答案:错误 4:[判断题]卡诺图的主要缺点是随着变量个数的增加,图形也更简单。 参考答案:错误 5:[判断题]ASCII码己经由国际标准化组织(ISO)认定为国际通用的标准代码。 参考答案:正确 6:[判断题]二进制数的符号在数字电路中的表示方法有原码、反码和补码。 参考答案:正确 7:[判断题]在用数码表示不同的事物时,这些数码己没有数量大小的含义,所以将它们称为代码。 参考答案:正确 8:[判断题]物理量的变化在时间上或在数值上是间断的,我们把这一类物理量称为模拟量。参考答案:错误 9:[论述题] 2、逻辑函数的公式化简法的概念及其常用方法。 参考答案: 1、最小项有下列性质: (1)每一个最小项都有一组也只有一组使其值为1的对应变量取值; (2)任意两个不同的最小项之积,值恒为0; (3)变量全部最小项之和,值恒为1。 2、公式化简法,就是在与或表达式的基础上,利用公式和定理,消去表达式中多余的乘积项和每个乘积项中多余的因子,求出函数的最简与或式。 常用方法有并项法、吸收法、消去法、配项消项法

1:[判断题]公式化简法的优点是它的使用不受任何条件的限制。 参考答案:正确 2:[判断题]在逻辑函数的表示方法中一共介绍了五种方法,这几种方法不可以任意地互相转换。 参考答案:错误 3:[判断题]逻辑代数的基本运算有与(AND)、或(OR)、非(NOT)三种。 参考答案:正确 4:[判断题]两个1位二进制数相加,叫做半加。 参考答案:正确 5:[判断题]门电路也是组合电路。 参考答案:正确 6:[判断题]组合电路按照逻辑功能特点不同划分为:加法器、比较器、编码器、译码器、数据选择器和分配器、只读存储器等。 参考答案:正确 7:[论述题] 1、什么是组合电路? 2、组合电路的基本分析方法和设计方法是什么? 参考答案: 1、任何时刻电路的稳定输出,仅仅只决定于该时刻各个输入变量的取值,这样的逻辑电路叫做组合电路。 2、组合逻辑电路的分析方法是: (一)根据给定的逻辑图写出输出函数的逻辑表达式; (二)进行化简,求出输出函数的最简与或表达式; (三)列出输出函数的真值表; (四)说明给定电路的基本功能。 组合逻辑电路的基本设计方法是: (一)进行逻辑抽象(1)分析设计要求,确定输入、输出信号及它们之间的因果关系(2)设定变量(3)状态赋值(4)列真值表; (二)进行化简(1)输入变量比较少时,可以用卡诺图化简。(2)输入变量比较多用公式法化简。 (三)画逻辑图(1)变换最简与或表达式,求出所需要的最简式(2)根据最简式画出逻辑图。 1:[判断题]寄存器按功能差别分为基本寄存器和主要寄存器。 参考答案:错误 2:[判断题]为了从根本上解决电平控制问题,人们在同步触发器基本上设计了主从触发器。参考答案:正确

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

《数字电子技术》第二次作业答案

《数字电子技术》第二次作业答案 说明:每道小题括号里的答案是您最高分那次所选的答案,标准答案将在本次作业结束(即2014年09月11日)后显示在题目旁边。 一、单项选择题。本大题共20个小题,每小题 3.5 分,共70.0分。在每小题给出的选项中,只有一项是符合题目要求的。 1. ( D ) A. B. C. D. 2. ( B ) A. B. C.A+B D. 3. ( C ) A. B. C. D. 4.,则:()

( A ) A.不能确定 B.A≠C C.A=C D.B=0 5.以下电路中常用于总线应用的有()。 ( B ) A.OC门 B.TSL门 C.漏极开路门 D.CMOS与非门 6.CMOS数字集成电路与TTL数字集成电路相比突出的优点是()。 ( C ) A.高抗干扰能力 B.高速度 C.微功耗 7. ( B ) A.同步四进制计数器 B.同步六进制计数器 C.同步八进制计数器 D.同步五进制计数器 8.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T()。 ( B ) A.0 B. 1 9. ( D )

A.0 B. 1 C. D. 10.下)。 ( C ) A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 11.石英晶体多谐振荡器的突出优点是()。 ( B ) A.速度高 B.振荡频率稳定 C.电路简单 D.输出波形边沿陡峭 12.一个容量为1K×8的存储器有()个存储单元。 ( A ) A.8192 B.8K C.8000 D.8 13.一个无符号8位数字量输入的DAC,其分辨率为()位。 ( D ) A. 1 B. 3 C. 4 D.8 14.十进制数25用8421BCD码表示为()。 ( B ) A.10 101 B.0010 0101 C.100101

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码

相关文档
相关文档 最新文档