文档库 最新最全的文档下载
当前位置:文档库 › 西工大 数字集成电路实验一

西工大 数字集成电路实验一

西工大 数字集成电路实验一
西工大 数字集成电路实验一

数字集成电路实验报告

西北工业大学2014年5月5日星期一

实验一、反相器链的参数模拟下图反相器中的MOS管L=0.5u,W=1.2u。试建立反相器子电路,并考察子电路的VTC特性。建立完整电路后,分析该反相器链的直流传输特性、时序特性及带负载能力(负载为电容0.5P 1P 2P)。

第一步:设计反相器单元

Vdd

第二步:写出输入文件,执行DC分析获得反相器的VTC特性图由曲线可得,单个反相器的开关阈值为2.15V

第三步写出SUBCKT并实例化三个,来实现反相器链对反相器链执行DC扫描。

第四步执行measure 命令测量延迟时间。

由仿真所得的list文件可得:

tdelay= 1.8323E-09 targ= 1.2332E-08 trig= 1.0500E-08

第五步考察电路带容性负载的能力,同学们自己完成(提示:建立DATA包含三个容值,瞬态分析时执行SWEEP DATA=DATANM)

tdelay= 9.6262E-13 targ= 1.0501E-08 trig= 1.0500E-08

代码附录:

.TITLE 1.2UM CMOS INVERTER

.options probe

.options tnom=25

.options ingold=2 limpts=30000 method=gear

.options lvltim=2 imax=20 gmindc=1.0e-12

.protect

.lib'C:\Eric\Digital Integrated Circuit\experiment 1\cmos25_level49.lib' TT

.unprotect

.global vdd

Mn out in 0 0 NMOS W=1.2u L=0.5u *(工艺中要求尺寸最大0.5u)Mp out in vdd vdd PMOS W=3u L=0.5u * 此处W需做更改) CL OUT 0 0.5PF

vdd vdd 0 5V

vin in 0 PULSE(0 5V 10NS 1N 1N 50N 100N)

.DC vin 0 5V 0.1V

.op

.probe dc v(out)

.end

.TITLE 1.2UM CMOS INVERTER CHAIN

.options probe

.options tnom=25

.options ingold=2 limpts=30000 method=gear

.options lvltim=2 imax=20 gmindc=1.0e-12

.protect

*.include'./exp1.1.sp'

.lib'C:\Eric\Digital Integrated Circuit\experiment 1\cmos25_level49.lib' TT

.unprotect

.global vdd

.SUBCKT INV IN OUT wn=1.2u wp=3u

Mn out in 0 0 NMOS W=wn L=0.5u

Mp out in vdd vdd PMOS W=wp L=0.5u

.ENDS

X1 IN 1 INV * WN=1.2U WP=3U

X2 1 2 INV * WN=1.2U WP=3U

X3 2 OUT INV * WN=1.2U WP=3U

CL OUT 0 1PF

VDD VDD 0 5V

VIN IN 0 0

.DC VIN 0 5V 0.1V

.measure DC V1 when v(out)=2.5v

.PROBE dc v(out)

.END

.TITLE 1.2UM CMOS INVERTER CHAIN

.options probe

.options tnom=25

.options ingold=2 limpts=30000 method=gear

.options lvltim=2 imax=20 gmindc=1.0e-12

.protect

.lib'C:\Eric\Digital Integrated Circuit\experiment 1\cmos25_level49.lib' TT

.unprotect

.global vdd

.SUBCKT INV IN OUT wn=1.2u wp=1.2u

Mn out in 0 0 NMOS W=wn L=0.5u

Mp out in vdd vdd PMOS W=wp L=0.5u

.ENDS

X1 IN 1 INV WN=1.2U WP=3U

X2 1 2 INV WN=1.2U WP=3U

X3 2 OUT INV WN=1.2U WP=3U

CL OUT 0 1PF

VDD VDD 0 5V

VIN IN 0 PULSE(0 5V 10NS 1N 1N 50N 100N)

.TRAN 1N 200N

.measure tran tdelay trig v(in)

+val=2.5 td=8ns rise=1

+targ v(out) val=2.5 td=9n fall=1 .PRINT V(OUT)

.END

西北工业大学数电实验报告一Quartus和 Multisim

数字电子技术基础 实验报告 题目:实验一TTL集成门电路逻辑变换 小组成员: 小组成员:

实验一TTL集成门电路逻辑变换 一、实验目的 通过完成所要求的实验内容,来熟练掌握运用TTL集成门电路逻辑变换的基本原理,充分了解 Multisim 软件的仿真技术和QuartusII 软件的绘制原理图、编译程序、波形仿真等功能及将程序写入开发板的全体流程步骤,深入学习数字电路在实践运用中所面临的场景,进而为后续对数字电路更深层次的使用及实验打下良好铺垫。 二、实验要求 要求一:测试与非门逻辑功能。用MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求二:用与非门实现“与”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求三:用与非门实现“或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求四:用与非门实现“异或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求五:用门电路设计实现一位全加器。用MULTISIM软件仿真后,再用 FPGA 实现电路测试逻辑功能 三、实验设备 (1)电脑一台; (2)数字电路实验箱; (3)数据线一根。

四、实验原理 Multisim 的模拟电路编程原理 Quartus II的模拟电路编译、波形仿真及目标器件写入的基本应用数字电路逻辑表达式转换的基本知识 五、实验内容 1、(要求一) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真: (4)记录电路输出结果 2、(要求二) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真:

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

西工大信号与系统-实验1

西北工业大学 《信号与系统》实验报告 西北工业大学

a. 上图分别是0N或者M

b. 以上是代码,下图是运行结果

由上图可看出,图上一共有3个唯一的信号。当k=1和k=6的时候的图像是一样的。因为档k= 1时,wk=(2*PI)/5,k=6时,wk=2PI+(2*PI)/5,即w6 = 2PI+w1,因为sin函数的周期是2PI,所以他俩的图像是一样的 c.代码如下:

图像如下: 可得出结论:如果2*pi/w0不是有理数,则该信号不是周期的 1.3离散时间信号时间变量的变换 a. nx=[zeros(1,3) 2 0 1 -1 3 zeros(1,3)];图像如下: b. 代码如下: x=zeros(1,11);

x(4)=2; x(6)=1; x(7)=-1; x(8)=3; n=-3:7; n1=n-2; n2=n+1; n3=-n; n4=-n+1; y1=x; y2=x; y3=x; y4=x; c: 代码和结果如下结果 下图是结果图

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

西工大数字集成电路实验报告_实验2反相器代码

1. 2. 计算出这个电路的V OH V OL 及V IH V IL 。(计算可先排除速度饱和的 可能) V in =0时,V OH = V in=时,假设NMOS 工作在临界饱和区: A I V R I v V V V A I V V L W K I D out L D T in out D T in D 61142`1073.55.207.243.05.21039.7)(2/--?=?+=?????=-=-=?=?-?=这样的话根据 D D I I <1,器件实际工作在线性区 ?????????=+=--=v V V R I V V V V L W K I in OL L D OL OL T in D 5.25.2]2)[(2` 6`10115-?=K 将, 5.0/5.1=L W ,43.0=T V 代入kohm R L 75= 解得: =OL V 由图得:V OH =, V OL =. 当out in V V =时,NMOS 工作在饱和区 ?????+=-?=out L D T in D V R I V V L W K I 5.2)(2/2`

反相器阈值电压===out in M V V V 此时 -6.8978)43.0(875.255.2,)43.0(9375.125.22=--== --=in Vin Vout in out V d d g V V ???????=--==+=0.5458||0.9082||g V V V V g V V V M OH M IL M M IH 由图得:V IH =, V IL =. SP 文件: .TITLE CMOS INVERTER .options probe .options tnom=25 .options ingold=2 limpts=30000 method=gear .options lvltim=2 imax=20 gmindc= .protect .lib'C:\synopsys\' TT .unprotect .global vdd Mn out in 0 0 NMOS W= L= *(工艺中要求尺寸最大) RL OUT VDD 75k VDD VDD 0 VIN IN 0 0

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路系统设计实验

实验一集成电路系统EDA软件使用简介 (基础性实验) 一实验目的 1、了解利用Quartus II 8.0 软件开发数字电路的基本流程以及掌握Quartus II软件 的详细操作。 2、了解使用VHDL原理图设计进行集成电路系统设计的实现方法。 3、掌握Quartus II 8.0 软件开发数字电路的基本设计思路,软件环境参数配置,时 序仿真,管脚分配,并且利用JTAG接口进行下载的常规设计流程。 二实验前的准备 1、将红色的MODUL_SEL拨码开关组合的1、 2、8拨上, 3、 4、 5、 6、7拨下,使数码 管显示当前模式为:C1. 2、检查JTAG TO USB转换接口和USB连接线的连接,并且将JTAG线连接到核心板上的 JTAG接口(核心板的第二个十针的插口)处。 三实验要求 学习使用Quartus II 8.0软件,掌握VHDL文本描述和原理图描述的RTL级描述方法。 四实验内容 (一)了解门电路元件库 1、新建原理图设计文件,并在原理图设计文件的基础上插入各种基本门电路元件,包 括与门、或门、非门、异或门等。 2、利用原理图图形编辑窗,将基本门电路元件进行连接,形成布线。 3、为连接好的门电路组合电路添加输入和输出端口。 (二)了解逻辑电路的仿真 1、保存原理图设计文件,新建时序仿真文件。 2、将各端口的信号标出,并对其实施功能仿真或时序仿真。并将仿真波形写入实验报 告。 (三)了解原理图文件的综合和下载 1、对原理图文件进行综合和引脚连结。 2、将对应FPGA端口连接至原理图电路端口中,并将原理图文件综合后的网表文件下载 到FPGA中,进行功能验证。 3、将硬件功能情况描述记录于实验报告中。

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

信号与系统答案 西北工业大学 段哲民 信号与系统1-3章答案

第一章 习 题 1-1 画出下列各信号的波形:(1) f 1(t)=(2-e -t )U(t); (2) f 2(t)=e -t cos10πt×[U(t -1)-U(t-2)]。 答案 (1))(1t f 的波形如图1.1(a )所示. (2) 因t π10cos 的周期 s T 2.0102== ππ ,故)(2t f 的波形如图题1.1(b)所示. 1-2 已知各信号的波形如图题1-2所示,试写出它们各自的函数式。 答案 )1()]1()([)(1-+--=t u t u t u t t f )]1()()[1()(2----=t u t u t t f )]3()2()[2()(3----=t u t u t t f 1-3 写出图题1-3所示各信号的函数表达式。

答案 2 002121 )2(21121)2(21 )(1≤≤≤≤-?????+-=+-+=+=t t t t t t t f )2()1()()(2--+=t u t u t u t f )] 2()2([2sin )(3--+-=t u t u t t f π )3(2)2(4)1(3)1(2)2()(4-+---++-+=t u t u t u t u t u t f 1-4 画出下列各信号的波形:(1) f 1(t)=U(t 2-1); (2) f 2(t)=(t-1)U(t 2-1); (3) f 3(t)=U(t 2-5t+6); (4)f 4(t)=U(sinπt)。 答案 (1) )1()1()(1--+-=t u t u t f ,其波形如图题1.4(a)所示.

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算 1、 设计译码器并估算延迟 设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。 译码器的结构可参考典型的4-16译码器 译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中) ① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解: 96332,10int =?==ext g C C C ,9.696/10F ==? 假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门): 81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。 因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。 所以: . 36.5136.5,68.2236.5, 36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68 1036.5=?; 第二级尺寸为956.1768.27.6=?; 第三级尺寸为96244.9636.5956.17≈=?。 故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++= ② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==, 故36.5,68.2,32.2,32.24321====f f f f 所以: 第一级尺寸为:()9.2832.210=?; 第二级尺寸为:728.632.29.2=?; 第三级尺寸为:03.1868.2728.6=?; 第四级尺寸为:65.9636.503.18=? 正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++= 2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实 际电路,并仿真1题中第一问的路径延迟。 设计出实际电路如下:

集成电路设计实验2

集成电路设计实验报告 院别:电信学院专业:电子科学与技术 班级:电子姓名:学号:组序: 实验(二)题目名称:CMOS反相器的版图设计(PMOS、NMOS) 成绩:教师签名:批改时间: 一、实验目的: 在集成电路设计当中,集成电路设计软件的介入大大的缩短了开发周期,减小了设计风险,使得我们在设计的时候可以发现并改正电路设计上的绝大多数bug。所以说学习设计软件已经成为集成电路设计工程师的必修课。而Ledit软件以其良好的人机操作界面,以及强大的设计规则检查能力而在集成电路的设计当中充当了很重要的角色。在此次试验当中我们需要独立完成CMOS反相器的版图设计,规则检查,以及排除错误工作。从而达到比较熟练的掌握Ledit 的基本功能已经操作方法。 二、实验要求: 如将设计好的电路制成实际使用的集成块,就必须利用版图工具将设计的电路采用标准工艺文件转换成可以制造的版图。然后再将版图提交给集成电路制造厂家(foundry),完成最后的集成块制造,所以画版图的本质就是画电路原理图。 在画版图时,首先要明白工艺文件的含义,每一种工艺文件代表一条工艺线所采用的光刻尺寸,以及前后各个工序等等;其次要懂得所使用的工具步骤及各个菜单及菜单栏的内容,以便熟练使用该软件;最后对所画版图进行验证,确保不发生错误。 此外,还必须了解所使用的版图设计法则,对于不同的工艺尺寸其法则有所不同,这就要求设计者在应用该软件时,必须熟悉相应的设计法则,为完成正确的版图做准备。该实验原理是画常见的CMOS反相器,画版图时要求熟悉CMOS反相器的工艺过程及设计法则。

三、实验方法: 首先在实验一的基础上进一步熟悉L-EDIT版图设计软件的工具及工艺库,比较熟练地掌握该软件画版图的方法。以CMOS反相器为例,在前面画的PMOS、NMOS 的基础上,通过调用将他们组合到一起,再完成整个CMOS反相器的设计,设计完成后运用该软件的设计规则对所画的版图进行DRC验证,并修改不正确的部分,直至设计无错误。 四、实验内容: 1.运行L-Edit程序时,L-Edit会自动将工作文件命名为Layout1.tdb并显示在窗口的标题栏上。 2.另存为新文件:选择执行File/Save As子命令,将自己的工程文件保存在C:\DocumentsandSettings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\exam ple1中,在“文件名”文本框中输入新文件名称:NOMS。保存到example目录的原因是防止后面做剖视图的时候没法进行。 3.替换设置信息:选择执行File/Replace Setup子命令打开对话框,单击“From File”栏填充框的右侧的Browser按钮,选择C:\Documents and Settings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\example1\lights.tdb文件,如图所示,单击OK就将lights.tdb文件中的格点、图层、以及设计规则等设定应用在当前工程中。 4.画出PMOS:按照实验一的步骤,设计PMOS的版图。并进行相应的规则检查,直到没有错误。画好后如图所示:

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

西工大数字电路实验报告——实验六

实验六:计数器及其应用 一. 实验目的: 1. 熟悉常用中规模计数器的逻辑功能。 2. 掌握时序电路一般设计方法。 3. 能够应用时序电路解决实际问题。 二. 实验设备: 数字电路试验箱,数字双踪示波器,函数信号发生器,74LS161,,74LS00及Multisim 仿真软件。 三. 实验原理: 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 目前,TTL 和CMOS 电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零同步置数四位二进制计数器74LS161。 74LS161为异步清零计数器,即端输入低电平,不受CP 控制,输出端立即全部为“0”。74LS161具有同步置数功能,在端无效时,端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入 , 即同步预置功能。和都无效,T 或P 任意一个为低电平,计数器处于保持状态,即输出状态不变。只有四个控制输入都为高电平,计数器才实现16加法计数。74LS161引脚排列如图(1)所示,表(1)为它的功能表。 图(1) r C r C D L 3210D D D D r C D L

1 0 ↑ D C B A 1 0 1 0 1 1 1 ↑ 表(1) 四.实验内容: 1.用74LS161和74LS00实现两种置数方式的十进制计数。 (1)异步置数法: 利用芯片的预置功能,可以实现M=10进制计数器,M=16-N=10,其中N=6(二 进制为0110)为预置数。将0110送到输入端D3D2D1D0,计数器开始从0110 开始计数,在CP脉冲下一直计数到1111,此时,从进位端Qc输出1,经 非门送到Ld端,呈置数状态。还可以将D3D2D1D0全部接地,当输出值为 1001(十进制的9)时,两个输出端Q3和Q0经与非门送到Ld端,呈置数状 态。第二种方式的电路连接如下图上半部分: (2)同步清零法: 当计数器计数到1010(十进制10)的时候,Q3和Q1经与非门输出,使复位 端Cr为0,从而计数器从执行计数变为复位状态,其电路连接如上图下半 部分: 2.用74LS161和74LS00实现两种级联方式24进制计数。 因为M=24>16,所以才用两片74LS161计数器级联实现24进制计数。使第一片 计数器连接成异步置数法的10进制计数器,当Q3和Q1经与非门输出0时,

西北工业大学_信号与线性系统实验报告_实验一、实验二

西北工业大学 信号与线性系统实验报告学号姓名:

实验一常用信号的分类与观察 1.实验内容 (1)观察常用信号的波形特点及其产生方法; (2)学会使用示波器对常用波形参数的测量; (3)掌握JH5004信号产生模块的操作; 2.实验过程 在下面实验中,按1.3节设置信号产生器的工作模式为11。 (1)指数信号观察: 通过信号选择键1,按1.3节设置A组输出为指数信号(此时信号输出指示灯为000000)。用示波器测量“信号A组”的输出信号。 观察指数信号的波形,并测量分析其对应的a、K参数。 (2)正弦信号观察: 通过信号选择键1,按1.3节设置A组输出为正弦信号(此时A组信号输出指示灯为000101)。用示波器测量“信号A组”的输出信号。 在示波器上观察正弦信号的波形,并测量分析其对应的振幅K、角频率 w。 (3)指数衰减正弦信号观察(正频率信号): 通过信号选择键1、按1.3节设置A组输出为指数衰减余弦信号(此时信号输出指示灯为000001),用示波器测量“信号A组”的输出信号。 通过信号选择键2、按1.3节设置B组输出为指数衰减正弦信号(此时信号输出指示灯为000010),用示波器测量“信号B组”的输出信号。 *分别用示波器的X、Y通道测量上述信号,并以X-Y方式进行观察,记录此时信号的波主持人:参与人:

形,并注意此时李沙育图形的旋转方向。(该实验可选做) 分析对信号参数的测量结果。 (4)*指数衰减正弦信号观察(负频率信号):(该实验可选做) 通过信号选择键1、按1.3节设置A组输出为指数衰减余弦信号(此时信号输出指示灯为000011),用示波器测量“信号A组”的输出信号。 通过信号选择键2、按1.3节设置B组输出为指数衰减正弦信号(此时信号输出指示灯为000100),用示波器测量“信号B组”的输出信号。 分别用示波器的X、Y通道测量上述信号,并以X-Y方式进行观察,记录此时信号的波形,并注意此时李沙育图形的旋转方向。 将测量结果与实验3所测结果进行比较。 (5)Sa(t)信号观察: 通过信号选择键1,按1.3节设置A组输出为Sa(t)信号(此时信号输出指示灯为000111),用示波器测量“信号A组”的输出信号。并通过示波器分析信号的参数。 (6)钟形信号(高斯函数)观察: 通过信号选择键1,按1.3节设置A组输出为钟形信号(此时信号输出指示灯为001000),用示波器测量“信号A组”的输出信号。并通过示波器分析信号的参数。 (7)脉冲信号观察: 通过信号选择键1,按1.3节设置A组输出为正负脉冲信号(此时信号输出指示灯为001101),并分析其特点。 3.实验数据 (1)指数信号观察: 波形图: 实验结果: 主持人:参与人:

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

集成电路设计实验指导书

《集成电路设计技术》 实验指导书 编写人:方 元 电子电气工程系 2012年2月

前言 Verilog HDL就是在用途最广泛的C语言的基础上发展起来的一种硬件描述语言,它是由GDA(Gateway Design Automation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得Verilog HDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI组织以促进Verilog HDL 成为IEEE标准,即IEEE Standard 1364-1995。 本实验是在学生拥有集成电路设计概念以及数字电路理论学习的基础上,通过ModelSim EDA仿真工具,编写Verilog HDL语言。这有助于学生们对于课堂上所学习的Verilog HDL语言有一个深入的了解,并最终使得学生们能够学会该语言的基本语法,能够编写一些简单的模块。若今后从事集成电路设计工作,能够通过本次实验初窥门径,为今后的工作打下基础。 通过实验能够掌握Verilog HDL语言的基本语法,基本模块,为以后更加深入的学习数字集成电路设计打下基础。同时,让学生们使用最简单的HDL逻辑仿真工具ModelSim,对EDA工具的使用有一个基本概念,这样在学习其他EDA 工具时就不会那么生疏。 本实验通过4次实验,让同学们掌握Verilog模块化设计的理念,学会使用ModelSim软件,学会通过波形图查看电路的时序与逻辑是否正确。

相关文档
相关文档 最新文档