文档库 最新最全的文档下载
当前位置:文档库 › 微电子工艺原理试题

微电子工艺原理试题

微电子工艺原理试题
微电子工艺原理试题

微电子工艺原理

一、单项选择

1.The most common reticle reduction ratio used with step-and-scan exposure tools is()

a.1:1 and 4:1

b. 1:1 and 5:1

c.4:1 and 5:1

d.4:1

2. Which of the following processes are performed in the diffusion area? Circle all that apply. ()

a. wafer cleans

b.high temperature processing

c.metallization

d.polishing

e.photoresist stripping

3.What are the three production areas where photoresist-coated wafers can be found? ()

a.diffusion

b.photolithography

c.etch

d.implant

e.thin films

f.polish

4. Which of the following is not a common production tool in the thin films area? ()

a.plasma resist stripper

b.CVD systems

C. PVD systems

d.rapid thermal anneal system

e.sputtering system

f.spin-on-glass dispense system

5.What does the term CMP stand for? ()

a.chemically modulated photostabilizer

b.chemical mechanical propellant

c.chemicaly manipulated plasma

d. chemical mechanical planarization

6.What is another name for CMP? ()

a.etch

b.implant

c.polish

d.diffusion

7.The term WET stands for()

a.wafer etch technology

b. wet etch for titanium contancts

c. wafer elastomeric treatment

d. wafer electrical test

8. The data obtained from wafer test/sort is used to()

a.determine which wafers need to go through WET.

b.determine which wafers need to go through backgrind.

c.determines the die yield for each wafer.

d.calculate cycle time for wafer production.

9.The wafer is tested twice in order to determine its product worthiness()

a.once after first metal etch and after the completion of the last wafer process step.

b.once before the contanct etch and after the completion of the wafer process flow.

c. once after the first ion implant and after the completion of the wafer process flow.

d.once at wafer/test sort and after die separation.

10.The purpose of the contanct formation process is to ()

a.insulate all exposed silicon areas of the wafer.

b.form metal contacts on all active areas of the silicon.

c.create barriers for charge carriers between transistors.

d.form metal contacts on all exposed areas of silicon dioxid

e.

11.What are the reasons for the thermal anneal process after ion implantation? ()

a.Annealing ensures that the silicon is ready to bond with the implanted tungsten.

b. Annealing the wafer after implant prepares the silicon for the STI etch process

c. Anneal drives dopants further into the silicon and recrystalizes the substrate.

d. Anneal helps clean off residual oxide from the silicon substrat

e.

12.What is shallow trench isolation (STI)? ()

a.STI utilizes an older selective oxidation technique to isolate transistors.

b. STI forms oxide structures atop the substrate to isolate neighboring transistors

c. STI forms windows in a nitride mask which allow some silicon to be oxidaze

d.

d. STI uses oxide-filled trenches to isolate transistors from each other.

二、翻译并解释

1.active region —有源区

有源区:硅片上做有源器件的区域。有源区主要针对MOS而言,不同掺杂可形成n或p型有源区。

2.anneal —退火

将材料加热到适当温度,保持一定时间,然后缓慢冷却的热处理工艺。目的是消除缺陷、改善性能。

3.atmospheric pressure CVD (APCVD) —常压化学气相淀积

在常压下进行的一种化学气相淀积的一种方法。它设备比较简单,产量低;片内及片间均匀性较差,台阶覆盖能力差,易产生雾状颗粒、粉末等。提高稀释气体流量和降低淀积温度,可以提高均匀性。

4.damascene —大马士革工艺

在晶体管以及其他钛硅化物接触之间布金属连接线所用到的局部互连方法。

5.diffusion —扩散

扩散是由粒子浓度较高的地方向着浓度较低的地方进行,从而使得粒子的分布逐渐趋于均匀;浓度的差别越大,扩散越快;温度越高,扩散也越快。

6.dry oxidation —干法氧化

干氧:Si(固)+O2(气)→SiO2(固),即在没有水汽的氛围里进行的热氧化。它具有氧化速度慢,氧化层干燥、致密,均匀性、重复性好,与光刻胶的粘附性好的特点。

7.epitaxial layer —外延层

在单晶衬底上生长的薄层单晶层,称为外延层。外延层有提高耐压同时降低导通电阻,降低闩锁效应等作用。

8.interlayer dielectric —层间介质

器件中不同的金属层之间的电绝缘层称为层间介质(ILD),充当两层导电金属或者相邻金属线条之间的隔离膜。通常采用SiO2(介电常数39~40之间)或者玻璃材料。

9.magnetron sputtering —磁控溅射

磁控溅射是在靶的周围和后面装置了磁体以俘获并限制电子于靶的前面。这种设置增加了离子在靶上的轰击率,增加了系统的淀积速率。

10.polish —抛光

通过使硅片凸出的部分减薄到凹陷部分的厚度,以实现硅片表面平坦化。

三、论述题

1、列举等离子体辅助CVD的优点?它包括哪几种工艺?PECVD有何优点和不足?

答:(1)等离子体辅助CVD的优点:

工艺温度低(250 ℃-450℃)

高的深宽比填隙能力(HDPCVD )

淀积膜粘附性好

淀积速率高

膜针孔和空洞少

工艺温度低,应用广

(2)在CVD中有两类等离子体工艺:

等离子体增强CVD( PECVD )

高密度等离子体CVD( HDPCVD )

(3)PECVD的优点:淀积温度低,冷壁等离子体反应,产生颗粒少,需要少的清洗空间等。缺点:填隙能力不足。

2、描述CVD反应中的8个步骤?

答:1) 反应物的质量传输

2) 薄膜先驱物反应

3) 气体分子扩散

4) 先驱物的吸附

5) 先驱物扩散到衬底

6) 表面反应

7) 副产物的解吸附作用

8) 副产物去除

3、例出光刻的8个步骤,并对每一步做出简要解释?

答:1)气相成底膜处理:包括清洁、烘干、气相成底膜(采用六甲基二硅胺烷)。增强表面与光刻胶的粘附性;

2)涂胶:在待光刻的硅片表面均匀地涂上一层光刻胶。要求粘附良好,均匀;

3)前烘(软烘90-100℃):使光刻胶干燥,以增强胶膜与硅片表面的粘附性和胶膜耐磨性,并使曝光时能进行充分的光化学反应;

4)对准和曝光:将掩膜版图形转移到光刻胶。

5)曝光后烘焙。

6)显影:用化学显影剂溶解光刻胶上的可溶区域。

7)坚膜烘焙(120-140℃):使胶膜与硅片间紧密粘附,防止胶层脱落,并增强胶膜本身的抗蚀能力;

8)显影后检查,发现错误一定纠正。

4、干法刻蚀的目的是什么?例举干法刻蚀同湿法刻蚀相比具有的优点。干法刻蚀的不足之处是什么?

答:干法刻蚀是指用腐蚀剂的气态分子与被腐蚀的衬底反应实现刻蚀。其目的是在涂胶的硅片上正确复制掩膜图形,最后实现图形的步骤。

优点:①刻蚀剖面各向异性,具有非常好的侧壁剖面控制;②好的CD控制;③最小的

光刻胶脱落或粘附问题;④好的片内、片间、批次间的刻蚀均匀性;⑤较低的化学制品使用和处理费用。

不足:干法刻蚀对下层材料的差的刻蚀选择比、等离子体引起器件损伤和昂贵的设备。

5、在等离子体刻蚀中影响腐蚀速率的各种因素?

答:(1) 射频功率越高,腐蚀速率越快。但过高的功率会降低光刻胶的抗蚀性,导致腐蚀的可控性变差。

(2) 增加腐蚀气体流量,腐蚀速率相应增大。但流量过大会导致压力增高,使电子的自由程缩短,气体的离化率变低,腐蚀速率反而会下降。

(3) 衬底温度升高,腐蚀速率增大。为确保腐蚀的重复性,须精确控制反应室与衬底的温度。

(4) 腐蚀气体种类和气体成份对腐蚀速率的影响较大。

6、简述粒子注入设备中的扫描系统?扫描后需要什么后续处理?

答:扫描方式分为两种:(1)固定硅片,移动束斑---中低电流注入机;(2)固定束斑,移动硅片---大电流注入机。

注入机中的扫描系统有4种类型:静电扫描;机械扫描;混合扫描;平行扫描。

(1)静电扫描:在X-Y电极上加特定电压,使离子发生偏转,注入到固定的硅片上。(2)机械扫描:离子束固定,硅片机械移动。

(3)混合扫描硅片放置在轮盘上旋转,并沿y轴方向扫描。离子束在静电(或电磁)的作用下沿x轴方向扫描。

(4)平行扫描:静电扫描的离子束与硅片表面不垂直,容易导致阴影效应。平行扫描的离子束与硅片表面的角度小于0.5度,因而能够减小阴影效应和沟道效应。平行扫描中,离子束先静电扫描,然后通过一组磁铁,调整它的角度,使其垂直注人硅片表面。

其后续处理包括:

(1)硅片冷却:离子束轰击硅片,导致硅片温度升高。硅片冷却系统用来控制温度,防止出现由加热引起的问题。硅片冷却的两种技术:气冷和橡胶冷却。气冷的硅片被封在压板上,气体被送到硅片后面,成为热传导通道,把热量从硅片传到压板。橡胶冷却的金属压板上覆盖了一薄层橡胶材料,与硅片的背面接触,最大限度地在硅片和压板之间传热。

(2)硅片充电:在注入过程中,离子束撞击硅片导致正离子在掩蔽层上的积累。解决方法:电子喷淋---向硅片表面喷发低能电子;等离子喷淋。

四、简答题

1、什么叫集成电路?写出集成电路发展的五个时代及晶体管的数量?

集成电路(integrated circuit)是一种通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如Si、GaAs)上,封装在一个外壳内,执行特定电路或系统功能的微型电子器件或部件。

集成电路发展的五个时代(按集成度划分):

(1)小规模集成电路(Small Scale IC,SSI) (晶体管数量<102)

(2)中规模集成电路(Medium Scale IC,MSI) (晶体管数量102~103)

(3)大规模集成电路(Large Scale IC,LSI) (晶体管数量103~105)

(4)超大规模集成电路(V ery Large Scale IC,VLSI) (晶体管数量105~107)

(5)甚大规模集成电路(Ultra Large Scale IC,ULSI) (晶体管数量>109)

2、写出IC 制造的5个步骤?

(1)硅片制备(Wafer preparation):晶体生长,滚圆、切片、抛光。

(2)硅片制造(Wafer fabrication):清洗、成膜、光刻、刻蚀、掺杂。

(3)硅片测试/拣选(Wafer test/sort):测试、拣选每个芯片。

(4)装配与封装(Assembly and packaging):沿着划片槽切割成芯片、压焊和包封。

(5)终测(Final test):电学和环境测试。

3、写出半导体产业发展方向?什么是摩尔定律?

(1)提高性能-Increase in Chip Performance

a)关键尺寸(Critical Dimension,CD) 或特征尺寸(Feature Size)或线宽;

b)每块芯片的元件数(Components per Chip)

c)功耗(Power Consumption)

(2)提高可靠性-Increase in Chip Reliability

(3)降低价格-Reduction in Chip Price

摩尔定律:

其内容是:硅集成电路按照4年为一代,每代的芯片集成度要翻两番、工艺线宽约缩小30%,IC工作速度提高1.5倍等发展规律发展。

主要有以下三种"版本":

1、芯片上所集成的晶体管的数目,每隔18个月就翻一番。

2、微处理器的性能每隔18个月提高一倍,而价格下降一倍。

3、用一个美元所能买到的电脑性能,每隔18个月翻两番。

4、什么是特征尺寸CD?

特征尺寸(CD):集成电路中半导体器件能够加工的最小尺寸。它是衡量集成电路设计和制造水平的重要尺度,关键尺寸越小,芯片的集成度越高,速度越快,性能越好。

5、什么是More moore定律和More than Moore定律?

“More Moore”:是指继续遵循Moore定律,芯片特征尺寸不断缩小(Scaling down),以满足处理器和内存对增加性能/容量和降低价格的要求。它包括了两方面:从几何学角度指的是为了提高密度、性能和可靠性在晶圆水平和垂直方向上的特征尺寸的继续缩小,以及与此关联的3D结构改善等非几何学工艺技术和新材料的运用来影响晶圆的电性能。

“More Than Moore”:指的是用各种方法给最终用户提供附加价值,不一定要缩小特征尺寸,如从系统组件级向3D集成或精确的封装级(SiP)或芯片级(SoC)转移。它更强调功能多样化,更注重所做器件除了运算和存储之外的新功能,如各种传感功能、通讯功能、高压功能等,以给最终用户提供更多的附加价值。以价值优先和功能多样化为目的的“More Than Moore”不强调缩小特征尺寸,但注重系统集成,在增加功能的同时,将系统组件级向更小型、更可靠的封装级(SiP)或芯片级(SoC)转移。

6、例举得到半导体级硅的三个步骤。半导体级硅的纯度能达到多少?(50分)

答:(1)制备半导体级硅的过程:

a)制备冶金级硅:SiC(s)+SiO2(s)→Si(l)+SiO(g)+CO(g)

b )化学反应提纯生成三氯硅烷:Si(s)+3HCl(g)→SiHCl 3(g)+H 2(g)+heat

c )生产半导体级硅:2SiHCl 3(g)+2H 2(g)→2Si(s)+6HCl(g)

(2)半导体级硅的纯度能达到99.9999999%。

7、将圆柱形的单晶硅锭制备成硅片需要哪些工艺流程?(30分)

答:晶体生长→整型→切片→磨片倒角→腐蚀→抛光→清洗→检查→包装

8、硅片如何标识和定位?MOS 、二极管、BJT 分别使用哪种类型的硅片?

答:

p 型(111) p 型(100)

n 型(111)n 型(100)

MOS :100;二极管:111;BJT :111。

9、在CMOS 制作过程中,金属1如何互连?

答:①淀积Ti,使钨塞和下一层金属良好键合,层间介质良好键合

②Al 、Au 合金,加入铜抗电迁移;

③TiN 作为下一次光刻的抗反射层;

④金属刻蚀

10、热氧化有哪些类型?它们之间有何区别?

1、干氧:Si +O 2→SiO 2

氧化速度慢,氧化层干燥、致密,均匀性、重复性好,与光刻胶的粘附性好

2、水汽氧化:Si+H 2O →SiO 2(固)+H 2(气)

氧化速度快,氧化层疏松,均匀性差,与光刻胶的粘附性差

3、湿氧:氧气携带水汽,故既有Si 与氧气反应,又有与水汽反应

氧化速度、氧化质量介于以上两种方法之间,

11、例举并讨论引入铜金属化的五大优点?铝铜合金相比纯铝有什么特点?

答:铜金属化的五大优点:

(1)电阻率小,减少RC 延迟,增加芯片速度;

(2)减小线宽,较低功耗;

(3)集成度提高,金属层可减少;

(4)良好的抗电迁移能力;

(5)采用双大马士革,更少的工艺步骤。

铝铜合金的特点:

(1) 抗电迁移能力强。

(2) 通过热处理,减小膜中小丘的产生,对多层布线有利。

三明治结构

五、举例回答和说明

1、描述化学机械平坦化工艺。

答:化学机械平坦化工艺通过比去除低处图形更快的速率去除高处图形以获得均匀表面,是一种化学和机械作用结合的平坦化过程。CMP是表面全局平坦化技术。CMP技术平坦化后台阶高度可控制到50? 左右。

? CMP技术的优点:

a)全局平坦化

b)平坦化不同的材料

c)平坦化多层材料

d)减小严重表面起伏

e)制作金属图形的方法之一

f)改善台阶覆盖

g)不使用危险气体

h)减薄表面材料去除表面缺陷

?CMP技术的缺点:

a) 新技术,工艺难度稍大

b) 引入新缺陷

c) 设备昂贵

2、传统的平坦化技术有哪些?

答:(1)反刻:通过比刻蚀牺牲填充材料更快的刻蚀速率来刻蚀减小台阶的高度的平坦化方法。反刻不能实现全局平坦化;反刻无需掩膜版。

(2)玻璃回流:利用BPSG的流动性来平坦化。玻璃回流能获得部分平坦化。

(3)旋涂膜层:旋涂液体材料并利用离心力来填充图形低处,获得平坦化效果。该技术在ILD的低k介质膜中具有很大的应用前景。

3、为什么要进行硅片拣选测试?它的目标是什么?

答:硅片拣选测试的目的是检验硅片上哪些器件正常工作。其目标是:

(1)芯片功能:检验所有芯片功能的操作,确保只有好的芯片被送到装配和封装的下一个IC生产阶段。

(2)芯片分类:通过工作速度特性对好的芯片进行分类。

(3)生产成品率响应:提供重要的生产成品率信息,以评估和改善整体制造工艺的能力。(4)测试覆盖率:用最小的成本得到较高的内部器件测试覆盖率。

4、什么是硅片拣选成品率?影响硅片拣选测试成品率的因素有哪些?

答:硅片拣选成品率指通过硅片拣选测试的合格芯片所占的百分比。

=好的芯片数

硅片拣选测试成品率

总芯片数

影响硅片拣选测试成品率的设计和制作因素有:

a)硅片直径的增大

b)芯片尺寸的增加

c)工艺步数的增加

d)特征尺寸的减小

e)工艺的成熟

f)晶体缺陷

5、传统的集成电路封装材料有哪些?并举例说明其代表?

答:(1)塑料封装:使用环氧树脂聚合物将已完成引线键合的芯片和模块化工艺的引线框架完全包封。

优点:管脚成型灵活;成本低,重量轻。

缺点:密封性。

典型的封装形式:

(2)陶瓷封装:耐熔陶瓷和薄层陶瓷。

优点:密封性好;高可靠性、大功率。

缺点:成本较高。缺点:成本较高。

耐熔陶瓷的问题:高收缩性,公差难于控制;高介电常数,增加寄生电容,影响高频信号;氧化铝的导电率,信号延迟。

耐熔陶瓷:陶瓷针栅阵列 Pin Grid Array (PGA)

薄层陶瓷:CERDIP封装(陶瓷双列直插)

6、列举硅片制造过程中沾污的类型?又有哪些沾污源?

答:净化间沾污分为5类:

1)颗粒

2)金属杂质

3)有机物沾污

4)自然氧化层

5)静电释放

硅片生产厂房的7中沾污源为:

1)空气

2)人

3)厂房

4)水

5)工艺用化学品

6)工艺气体

7)生产设备

7、在硅片加工厂液态工艺用化学品有哪些类型?并举例?通用气体又有哪些?

答:液态工艺用化学品:

1)酸:HF,HCl,硫酸,磷酸,硝酸等。

2)碱:NaOH,氢氧化铵(NH4OH),KOH等。

3)溶剂:去离子水(DI Water),异丙醇(IPA),三氯乙烯(TCE),丙酮,二甲苯等。

通用气体:

1)惰性:N2,Ar,He

2)还原性:H2

3)氧化性:O2

8、例举并描述光刻中使用的两种曝光光源?

答:(1)汞灯。高压汞灯作为紫外光源被使用在所有常规的I线步进光刻机上。在这种灯里,电流通过装有氙汞气体的管子产生电弧放电。

(2)准分子激光。准分子是不稳定分子,由惰性气体原子和卤素构成,这里分子只存在准稳定激发态。

9、光学光刻中影响图像质量的两个重要参数是什么?

答:光学光刻中影响图像质量的两个重要参数是分辨率和焦深。

10、光刻中采用步进扫描技术获得了什么好处?

答:(1)曝光场大,掩膜版包含一个(或多个)几倍于实际图形的芯片,包含芯片个数可比分步重复光刻机掩膜版多;

(2)扫描过程调焦,对硅片的平整度和几何形状变化的补偿较容易。

(3)挑战:机械容差偏差控制。对承载Si片和投影版的台子运动的控制。

11、给出投影掩模板的定义。投影掩模板和光掩模板的区别是什么?

答:投影掩模板是一种透明的平板,在它上面有要转印到硅片上光刻胶层的图形。

投影掩模板和光掩模板的区别是:

(1)投影版可多次曝光,而光掩膜版只能有一次曝光;

(2)投影版版图关键尺寸比硅片关键更大,易于形成亚微米图形,而光掩膜版版图和硅片关键尺寸等大,不易达亚微米;

(3)投影版曝光场小,需要步进重复过程,产量要求先进的步进机和重复扫描,而光投影版一次曝光整个硅片,产量高;

(4)投影版可调节单个芯片的对准和聚焦,而光掩膜版要整个硅片一次对准和聚焦;(5)投影版不允许掩膜缺陷,掩膜缺陷会在整个硅片重复,而光掩膜版掩膜缺陷不会在硅片重复;

(6)投影版表面平整度可补偿,光掩膜版表面平整度不能补偿。

12、例举出两种光刻胶显影方法。例举出7种光刻胶显影参数。(第十五章)(10分)

答:两种显影方法:连续喷雾显影,旋覆浸没显影

7种光刻胶显影参数:

(1)显影温度(2)显影时间(3)显影液用量(4)当量浓度(5)清洗

(6)排风

(7)硅片吸盘

《陶瓷工艺学》试题

陶瓷工艺学试题库一.名词术语解释 1. 陶瓷制品——以粘土类及其它天然矿物岩石为原料,经加工烧制成的上 釉或不 上釉硅酸盐制品(如日用陶瓷、建筑卫生陶瓷、普通电瓷等)。 2. 胎——经高温烧成后构成陶瓷制品的非釉、非化妆土部分。 3. 釉——融着在陶瓷制品表面的类玻璃薄层。 4. 陶瓷显微结构——在显微镜下观察到的陶瓷组成相的种类、形状、大小、数 量、 分布、取向;各种杂种(包括添加物)与显微缺陷的存在形式、分布;晶界特征。 5. 胎釉适应性——釉层与胎具有相匹配的膨胀系数,不致于使釉出现龟 裂或剥 落的性能。 6. 实验式——表示物质成分中各种组分数量比的化学式。陶瓷物料通常以 各种氧 化物的摩尔数表示。 7. 坯式——表示陶瓷坯料或胎体组成的氧化物按规定顺序排列的实验式。 8. 釉式——表示陶瓷釉料或釉组成的氧化物按规定顺序排列的实验式。 9.--------------------- 粘土矿物颗粒大小在2口m以下,具有层状结构的含水铝硅酸盐晶 体矿物

10. 粘土—一种天然细颗粒矿物集合体,主体为粘土矿物,并含有部分非粘 土矿物和有机物。与水混合具有可塑性。 11. 一次粘土——母岩经风化、蚀变作用后形成的残留在原生地,与母岩未 经分离 的粘土。 12. 二次粘土——一次粘土从原生地经风化、水力搬运到远地沉积下来的粘 土。 13. 高岭石一一一种二层型结构的含水铝硅酸矿物(Al 2Q ? 2SO2- 2"0),因 首次在我国江西景德镇附近的高岭村发现而命名。 14. 瓷石——一种可供制瓷的石质原料,主要矿物为绢云母和石英,或含有少量长石、高岭石和碳酸盐矿物。 15. 釉石——制釉用瓷石, 其矿物组成与瓷石相似, 但具有较低的熔融温度, 熔融物具有较好的透明度。 16. 石英——天然产出的结晶态二氧化硅。 17. 长石——一系列不含水的碱金属或碱土金属铝硅酸盐矿物的总称。 18. a—半水石膏——石膏在水蒸气存在的条件下加压蒸煮而得到的晶体呈 针状、 结晶尺寸较大的半水石膏(a-CaSO? 1/2H2O) 19. B—半水石膏——石膏在常压下炒制而得到的晶体为不规整碎屑、比表面积较大的半水石膏(B—CaSO?1/2出0)。 20. 陶瓷颜料——以色基和熔剂配合制成的有色无机陶瓷装饰材料。 21. 陶瓷工艺——生产陶瓷制品的方法和过程。

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

陶瓷工艺学考前复习题 2016.06 - 没有答案

一、是非题: 1.陶瓷工艺学是一门研究陶瓷生产的应用科学,内容包括由陶瓷原料、坯料、釉料、成型到烧成及装饰陶瓷制品的整个工艺过程及其有关的基本理论。(√) 2.采用二次烧成的素坯强度高,便于搬运和存放,利于检选,提高了成品率。(√) 3.结晶釉是由于结晶组分在釉中的溶解度已经处于饱和状态,于冷却阶段从液相中析出而形成。(√) 4.中国古陶瓷的发展脉络是:陶器→印纹硬陶→原始瓷→瓷器。(√) 5.瓷石不是单一的矿物岩石,而是多种矿物的集合体。(√) 6.可塑泥料的屈服值与含水量无关(×) 7.坯釉热膨胀系数不匹配会产生很多诸如开裂、冷裂、破片等缺陷。(√)8.母岩风化后残留在原生地的粘土称为二次粘土。(×) 9.长石的助熔作用是由于本身的低温熔融而引起的。(√) 10.为了提高生产效率,可对石膏模具进行加热干燥。(×) 11.长石是陶瓷生产中最常用的熔剂性原料。(√)12.注浆前的扣模、擦模操作要注意模型对口面必须清扫干净注意保护好模型的棱角,防止磨损。(√) 13.翻模时,在实物上涂上肥皂水是为了能够易于脱模。(√) 14.在使用粉料进行压制成型时,造粒工序是为了使颗粒在模具中填充更加均匀。(√) 15.一次烧成能有效避免釉面出现针孔、釉泡等现象的产生。(×) 16.目前陶瓷可大致分为传统陶瓷、结构陶瓷和功能陶瓷三类我们艺术生主 要创作的是传统陶瓷。 (√) 17.釉是指附着在陶瓷坯 体表面的一种玻璃或玻璃 与晶体的连续粘着层。 (√) 18.干燥的目的是排除坯 体内残余的结构水。(×) 19.粘土原料之一的膨润 土主要成分是蒙脱石,且 蒙脱石具有吸水特性。因 吸水后体积膨胀,有时大 到20~30倍,故名膨润 土。(√) 20.可塑泥料的屈服值与 其含水量有关。(√) 21.传统陶瓷是指凡以粘 土为主要原料与其他天然 矿物原料经过配料混料成 型烧成等过程获得的制 (√) 22.生坯上釉的烧成称为 二次烧成。(×) 23.烧成制度就是烧成的 温度升降速度。(×) 24.翻模时,在实物上涂 上肥皂水是为了能够加速 石膏浆的固化。(×) 25.在使用粉料进行压制 成型时,造粒工序是为了 使颗粒能够充分利用模具 的空间。(×) 26.翻模时,在实物上涂 上肥皂当釉的热膨胀系数 大于坯的热膨胀系数时, 釉面会产生开裂现象。 (√) 27.陶器的吸水率一般小 于3%。(×) 28.以石英为主要熔剂的 釉称为长石釉。(×) 29.长石质瓷是以长石作 助熔剂的“长石—石英— 高岭土”三组分系统瓷。 (√) 30.青釉是以含铁化合物 为着色剂,还原焰烧成的 一种高温颜色釉。(√) 31.干燥收缩大,则易引 起坯体变形与开裂。(√) 32.原始瓷表面已经有一 层类似釉的粘着层,故仍 属于陶器的范畴。(×) 33.结晶釉是由于结晶组 分在釉中的溶解度已经处 于饱和状态,于冷却阶段 从液相中析出而形成。 (√) 二、填空题: 34.陶瓷坯体中的水分主 要有自由水、吸附水和结 合水。 35.按照陶瓷坯体结构不 同和坯体致密度的不同, 把所有的陶瓷制品分为两 大类:陶器和瓷器。 根据坯料的性能和含水量 不同,成形方法可以分为 三大类:可塑法成型、注 浆法成型、压制成型。 36.粘土质坯料在烧成过 程中一般可分为坯体水分 蒸发期、氧化分解与晶型 转变期、玻化成瓷期、高 温保温期和冷却期。 37.粘土是由各种富含长 石的硅酸盐矿物岩石经风 化、水解等作用而形成。 那么母岩经风化等作用就 地残留下来的粘土是一次 粘土,迁移到低洼地方而 沉积形成的粘土是二次粘 土。 38.长石质瓷是以长石为 助熔剂的瓷,以高岭土, 石英,长石为主要原料。 39.干燥的目的:排除坯 体的自由水,赋予坯体一 定的干燥强度,使坯体易 于运输,粘接以及施釉等 加工工序; 40.陶瓷原料按原料工艺 特性为分为:具有可塑性 的黏土原料、具有非可塑 性的石英原料、溶剂原料。 41.必须使釉处于压应力 状态才能提高它的机械强 度,可以使釉的膨胀系数 略小于坯体来实现。 42.那么母岩经风化等作 用就地残留下来的粘土被 称为一次粘土,迁移到低 洼地方而沉积形成的粘土 是一次粘土。二者相比较 而言,一次粘土的颗粒粗, 其可塑性差。 43.调节坯料性能的添加 剂主要有解凝剂、结合剂、 润滑剂这三类。 44.坯料与釉料组成的表 示方法有四种:实验式表 示法、化学组成表示法、 示性矿物组成表示法、配 料量表示法。 45.长石主要有四种基本 类型:钠长石、钾长石、 钙长石、钡长石。 46.烧成制度包括:温度 制度、气氛制度和压力制 度。 47.注浆成型的基本注浆 方法有单面注浆和双面注 浆。 48.决定瓷坯干燥速度快 慢的因素有温度、湿度和 空气流动。 49.宋代五大名窑是官, 哥,汝,定,钧窑。 50.结晶釉的析晶过程可 以分成晶核生长阶段和晶 核长大阶段。 三、选择题: 51.陶瓷坯体可按熔剂原 料的不同进行分类,景德 镇地区的制瓷原料一般含 有瓷石,那么其制瓷坯料 属于以下哪种类型?B A、长石质瓷坯料 B、绢云母质瓷坯料 C、骨灰瓷坯料 52.钾长石的化学式是, 属于原料。A A、K2O?Al2O3?6SiO2熔剂性 原料B、3Al2O3?2SiO2可 塑性原料 C、SiO2 非可塑 性原料 53.调节坯料性能的添加 剂主要有解凝剂、结合剂、 润滑剂这三类。水玻璃 (硅酸钠的水溶液)属于 哪类添加剂?A A、解凝剂 B、 结合剂C、润滑剂 54.裂纹釉釉面开裂是在 烧成过程中产生的。C A、升温阶段 B、 保温阶段C、冷却阶段 55.景德镇著名的釉里红 的发色组成是C A、FeO B、TiO2 C、CuO 56.高温塑性变形产生的 根本原因是?C

(完整版)集成电路工艺原理期末试题

电子科技大学成都学院二零一零至二零一一学年第二学期 集成电路工艺原理课程考试题A卷(120分钟)一张A4纸开卷教师:邓小川 一二三四五六七八九十总分评卷教师 1、名词解释:(7分) 答:Moore law:芯片上所集成的晶体管的数目,每隔18个月翻一番。 特征尺寸:集成电路中半导体器件能够加工的最小尺寸。 Fabless:IC 设计公司,只设计不生产。 SOI:绝缘体上硅。 RTA:快速热退火。 微电子:微型电子电路。 IDM:集成器件制造商。 Chipless:既不生产也不设计芯片,设计IP内核,授权给半导体公司使用。 LOCOS:局部氧化工艺。 STI:浅槽隔离工艺。 2、现在国际上批量生产IC所用的最小线宽大致是多少,是何家企业生产?请 举出三个以上在这种工艺中所采用的新技术(与亚微米工艺相比)?(7分) 答:国际上批量生产IC所用的最小线宽是Intel公司的32nm。 在这种工艺中所采用的新技术有:铜互联;Low-K材料;金属栅;High-K材料;应变硅技术。 3、集成电路制造工艺中,主要有哪两种隔离工艺?目前的主流深亚微米隔离工 艺是哪种器件隔离工艺,为什么?(7分) 答:集成电路制造工艺中,主要有局部氧化工艺-LOCOS;浅槽隔离技术-STI两种隔离工艺。 主流深亚微米隔离工艺是:STI。STI与LOCOS工艺相比,具有以下优点:更有效的器件隔离;显著减小器件表面积;超强的闩锁保护能力;对沟道无 侵蚀;与CMP兼容。 4、在集成电路制造工艺中,轻掺杂漏(LDD)注入工艺是如何减少结和沟道区间的电场,从而防止热载流子的产生?(7分) 答:如果没有LDD形成,在晶体管正常工作时会在结和沟道区之间形成高

集成电路工艺原理(考试题目与答案_广工版)

1、将硅单晶棒制成硅片的过程包括哪些工艺? 答:包括:切断、滚磨、定晶向、切片、倒角、研磨、腐蚀、抛光、清洗、检验。 2、切片可决定晶片的哪四个参数/ 答:切片决定了硅片的四个重要参数:晶向、厚度、斜度、翘度和平行度。 3、硅单晶研磨清洗的重要性。 答:硅片清洗的重要性:硅片表面层原子因垂直切片方向的化学键被破坏成为悬挂键,形成表面附近的自由力场,极易吸附各种杂质,如颗粒、有机杂质、无机杂质、金属离子等,造成磨片后的硅片易发生变花发蓝发黑等现象,导致低击穿、管道击穿、光刻产生针孔,金属离子和原子易造成pn结软击穿,漏电流增加,严重影响器件性能与成品率 45、什么是低K材料? 答:低K材料:介电常数比SiO2低的介质材料 46、与Al 布线相比,Cu 布线有何优点? 答:铜作为互连材料,其抗电迁移性能比铝好,电阻率低,可以减小引线的宽度和厚度,从而减小分布电容。 4、硅片表面吸附杂质的存在状态有哪些?清洗顺序? 答:被吸附杂质的存在状态:分子型、离子型、原子型 清洗顺序:去分子-去离子-去原子-去离子水冲洗-烘干、甩干 5、硅片研磨及清洗后为什么要进行化学腐蚀,腐蚀的方法有哪些? 答:工序目的:去除表面因加工应力而形成的损伤层及污染 腐蚀方式:喷淋及浸泡 6、CMP(CMP-chemical mechanical polishing)包括哪些过程? 答:包括:边缘抛光:分散应力,减少微裂纹,降低位错排与滑移线,降低因碰撞而产生碎片的机会。表面抛光:粗抛光,细抛光,精抛光 7、SiO2按结构特点分为哪些类型?热氧化生长的SiO2属于哪一类? 答:二氧化硅按结构特点可将其分为结晶形跟非结晶形,热氧化生长的SiO2为非结晶态。 8、何谓掺杂? 答:在一种材料(基质)中,掺入少量其他元素或化合物,以使材料(基质)产生特定的电学、磁学和光学性能,从而具有实际应用价值或特定用途的过程称为掺杂。 9、何谓桥键氧,非桥键氧?它们对SiO2密度有何影响? 答:连接两个Si—O四面体的氧原子称桥联氧原子,只与一个四面体连接的氧原子称非桥联氧原子。桥联的氧原子数目越多,网络结合越紧密,反之则越疏松 10、氧化硅的主要作用有哪些? 答:1、作为掩膜,2、作为芯片的钙化和保护膜,3、作为电隔离膜,4、作为元器件的组成部分。 11、SiO2中杂质有哪些类型? 答:替代式杂质、间隙式杂质 12、热氧化工艺有哪些? 答:有干氧氧化、湿氧氧化、水汽氧化 13、影响氧化速率的因素有? 答:温度、气体分压、硅晶向、掺杂 14、影响热氧化层电性的电荷来源有哪些类型?降低这些电荷浓度的措施? 答:1)可动离子电荷(Qm):加强工艺卫生方可以避免Na+沾污;也可采用掺氯氧化,固定Na+离子;高纯试剂 2)固定离子电荷Qf :(1)采用干氧氧化方法(2)氧化后,高温惰性气体中退火

陶瓷工艺学及答案

1. 陶瓷原料按工艺特性可分为哪四类原料? 一般按原料的工艺特性分为:可塑性原料、瘠性原料、熔剂性原料和功能性原料四大类。 2. 传统陶瓷的三大类原料是什么? 答:粘土、石英、长石 3. 指出粘土、粘土矿物、高岭土、高岭石的差异 答:黏土是一类岩石的总称,这有利于区分黏土、黏土矿物、高岭土、高岭石等这些名词的不同 黏土矿物:含水铝硅酸盐,组成黏土的主体,其种类和含量是决定黏土类别、工业性质的主要因素。高岭土主要由高岭石组成的黏土称为高岭土。 4. 说明原生粘土和次生粘土的特点 答:原生粘土:一次粘土,母岩风化后在原地留下来的粘土,产生的可溶性盐被水带走,因此质地较纯,耐火度高,颗粒较粗,可塑性差; 次生粘土:二次粘土、沉积粘土,由河水或风力将风化产生的粘土迁移至低洼地带沉淀所成。颗粒较细,可塑性好,夹杂其它杂质,耐火度差。 5. 粘土按耐火度可分为哪几类,各自特点是什么?P17 6. 粘土的化学组成主要是什么?主要化学成分为SiO2、A12O3和结晶水(H2O)。 分别说明氧化铝、二氧化硅、氧化铁/二氧化钛、碱金属/碱土金

属氧化物、有机质对粘土烧结的影响 (1)SiO2 :若以游离石英状态存在的SiO2多时,黏土可塑性降低,但是干燥后烧成收缩小。 (2)Al2O3 :含量多,耐火度增高,难烧结。 (3)Fe2O3<1%,TiO2 <0.5%:瓷制品呈白色,含量过高,颜色变深,还影响电绝缘性。 (4)CaO、MgO、K2O、Na2O:降低烧结温度,缩小烧结范围。(5)H2O、有机质:可提高可塑性,但收缩大。 7. 粘土中根据矿物的性质和数量可以分为哪两类?哪些是有益杂质矿物,哪些是有害杂质? 根据性质和数量分为两大类:黏土矿物和杂质矿物 有益杂质:石英、长石 有害杂质:碳酸盐、硫酸盐、金红石、铁质矿物 8. 指出碳酸盐、硫酸盐对陶瓷烧结的影响 碳酸盐主要是方解石、菱镁矿;硫酸盐主要是石膏、明矾石等。一般影响不大,但以较粗的颗粒存在时。往往使坯体烧成后吸收空气中的水分而局部爆裂。 9. 粘土矿物主要有哪三类?各自结构上有什么特点?试用材料分析手段说明如何鉴别高岭石、蒙脱石等 粘土矿物。a.高岭石类: b.蒙脱石类: c.伊利石类:杆状以及蠕虫状。二次高岭土中粒子形状不规则,

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

《陶瓷工艺学》试题

陶瓷工艺学试题库 一.名词术语解释 1.陶瓷显微结构——在显微镜下观察到的陶瓷组成相的种类、形状、 大小、数量、分布、取向;各种杂种(包括添加物)与显微缺陷的存在形式、分布;晶界特征。 2.胎釉适应性——釉层与胎具有相匹配的膨胀系数,不致于使釉出现 龟裂或剥落的性能。 3.实验式——表示物质成分中各种组分数量比的化学式。陶瓷物料通 常以各种氧化物的摩尔数表示。 4.坯式——表示陶瓷坯料或胎体组成的氧化物按规定顺序排列的实验 式。 5.釉式——表示陶瓷釉料或釉组成的氧化物按规定顺序排列的实验 式。 6.粘土矿物——颗粒大小在2μm以下,具有层状结构的含水铝硅酸盐 晶体矿物。 7.粘土—一种天然细颗粒矿物集合体,主体为粘土矿物,并含有部分 非粘土矿物和有机物。与水混合具有可塑性。 8.一次粘土——母岩经风化、蚀变作用后形成的残留在原生地,与母 岩未经分离的粘土。 9.二次粘土——一次粘土从原生地经风化、水力搬运到远地沉积下来 的粘土。 10.高岭石——一种二层型结构的含水铝硅酸矿物(Al 2O 3 ·2S? O2·2H 2 O),因首次在我国江西景德镇附近的高岭村发现而命名。 11.瓷石——一种可供制瓷的石质原料,主要矿物为绢云母和石英,或 含有少量长石、高岭石和碳酸盐矿物。 12.石英——天然产出的结晶态二氧化硅。 13.长石——一系列不含水的碱金属或碱土金属铝硅酸盐矿物的总称。 14.陶瓷工艺——生产陶瓷制品的方法和过程。 15.坯釉配方——坯料,釉料中各种原料配合的重量百分数。 16.细度——指固体颗粒的大小。陶瓷生产中习惯用标准筛的筛余量来 表示。 17.成型——将坯料制成具有一定形状和规格的坯体的操作。 18.可塑成型——在外力作用下,使可塑坯料发生塑性变形而制成坯体 的方法。 19.滚压成型——用旋转的滚头,对同方向旋转的模型中的可塑坯料进 行滚压,坯料受压延力的作用均匀展开而形成坯体的方法。 20.注浆成型——将泥浆注入多孔模型内,当注件达到所要求的厚度时, 排除多余的泥浆而形成空心注件的注浆法。 21.实心注浆——泥浆中的水分被模型吸收,注件在两模之间形成,没 有多余的泥浆排出的注浆法。 22.干压成型——将含水率低于6%的粒状粉料,放在模具中直接受压而 成型的方法。 23.等静压成型——粒状粉料在有弹性的软模中受到液体或气体介质传 递的均衡压力而被压实成型的方法。

微电子电路课程设计

课程设计报告 微电子电路 带有源负载的共源极放大器与带有源负载的cascode 放大器 集成电路设计 目录 1.课程设计目的···································页码3 2.课程设计题目描述和要求·························页码3 3.设计思路·······································页码4 4.带有源负载的共源极放大器设计过程及结果·········页码5 5.带有源负载的cascode放大器设计过程及结果·······页码7 6.心得体会·······································页码9 7.参考书目·······································页码9

2 1.课程设计目的 深刻理解课本上学到的知识,建立各个章节的知识体系之间的联系。 加强动手能力和运用课本知识理论解决问题的能力。 对于放大器的性能和参数有更深刻的理解和掌握。 2.课程设计题目描述和要求 分析如图这样的带有源负载的共源极放大器与带有源负载的cascode 放大器的开环增益,3dB 频宽,单位增益频率。其中负载电容为3PF ,电源电压为5V ,要求CS 放大器的开环增益大于30dB ,cascode 放大器的开环增益大于60 dB 。对仿真结果进行分析,功耗小于2mW 。 Vdd C

3 Vdd C 3.设计思路:根据题目要求来计算以cs 放大器为例 ⑴功率不超过2mW ,电源为 5v ,得到总电流不能超过400uA 。 ⑵开始分配给ID 的电流为50u 运用了镜像电流源,电流大小之比为2,在长度一定时候的宽度之比也是2,故在右边电路的id 为100u ⑶根据公式 对于n 管来说,预估一个过驱动电压0.4v (大约0.2-0.5v )均可。计算出来n 管宽长比为11.26,取11。因为实验中给定了n 管的阈值电压为0.723v ,所以,可以确定栅源电压为1.1v 左右。 对于p 管来说,预估一个过驱动电压为0.5v (大约0.2-0.5v )均可。经过计算,p 管的宽长比为11.59,取12 。

题库---微电子工艺原理

微电子工艺原理复习知识点与题库 一、绪论微电子工艺的概述 知识点:集成度、摩尔定律、微电子系统的概念 1集成电路的制作可以分成三个阶段:①硅晶圆片的制作;②集成电路的制作;③集成电路的封装。 2评价发展水平:最小线宽,硅晶圆片直径,DRAM容量 二、晶体结构和晶体生长 知识点: 5金刚石结构特点:共价四面体,内部存在着相当大的“空隙” 6面心立方晶体结构是立方密堆积,(111)面是密排面。 7金刚石结构可有两套面心立方结构套购而成,面心立方晶格又称为立方密排晶格。 8双层密排面的特点:在晶面内原子结合力强,晶面与晶面之间距离较大,结合薄弱。两个双层面间,间距很大,而且共价键稀少,平均两个原子才有一个共价键,致使双层密排面之间结合脆弱 9金刚石晶格晶面的性质:由于{111}双层密排面本身结合牢固,而双层密排面之间相互结合脆弱,在外力作用下,晶体很容易沿着{111}晶面劈裂。 由{111}双层密排面结合牢固,化学腐蚀就比较困难和缓慢,所以腐蚀后容易暴露在表面上。因{111}双层密排面之间距离很大,结合弱,晶格缺陷容易在这里形成和扩展。 {111}双层密排面结合牢固,表明这样的晶面能量低。由于这个原因,在晶体生长中有一种使晶体表面为{111}晶面的趋势。 10肖特基缺陷:如果一个晶格正常位置上的原子跑到表面,在体内产生一个晶格空位,称肖特基缺陷。 11弗伦克尔缺陷:如果一个晶格原子进入间隙,并产生一个空位,间隙原子和空位是同时产生的,这种缺陷为弗伦克尔缺陷。 12堆垛层错:在密堆积的晶体结构中,由于堆积次序发生错乱 13固溶体:当把一种元素B(溶质)引入到另一种元素A(溶剂)的晶体中时,在达到一定浓度之前,不会有新相产生,而仍保持原来晶体A的晶体结构,这样的晶体称为固溶体。 14固溶度:在一定温度和平衡态下,元素B能够溶解到晶体A内的最大浓度,称为这种杂质在晶体中的最大溶解度 15固溶体分类:替位式固溶体,间隙式固溶体 16某种元素能否作为扩散杂质的一个重要标准:看这种杂质的最大固溶度是否大于所要求的表面浓度,如果表面浓度大于杂质的最大固溶度,那么选用这种杂质就无法获得所希望的分布。 题目 三扩散工艺 知识点:

《半导体集成电路》考试题目及参考答案

第一部分考试试题 第0章绪论 1.什么叫半导体集成电路? 2.按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写? 3.按照器件类型分,半导体集成电路分为哪几类? 4.按电路功能或信号类型分,半导体集成电路分为哪几类? 5.什么是特征尺寸?它对集成电路工艺有何影响? 6.名词解释:集成度、wafer size、die size、摩尔定律? 第1章集成电路的基本制造工艺 1.四层三结的结构的双极型晶体管中隐埋层的作用? 2.在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响?。 3.简单叙述一下pn结隔离的NPN晶体管的光刻步骤? 4.简述硅栅p阱CMOS的光刻步骤? 5.以p阱CMOS工艺为基础的BiCMOS的有哪些不足? 6.以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法。 7. 请画出NPN晶体管的版图,并且标注各层掺杂区域类型。 8.请画出CMOS反相器的版图,并标注各层掺杂类型和输入输出端子。 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应? 4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路 1.名词解释

陶瓷工艺学试题

陶瓷工艺学试题 一.名词术语解释 1.触变性:黏土泥浆或可塑泥团受到振动或搅拌时,黏度会降低而流动性增加,静置后逐渐恢复原状,泥料放置一段时间后,维持原有水分下也会出现变稠和固化现象,这种性质统称为触变性。 2.晶界:结晶方向不同的、直接接触的同成分晶粒间的交界处称为晶界。3.白度:白度指陶瓷坯体表面对白光的漫反射能力,是陶瓷对白光的反射强度与理想的白色标准物体所反射白光强度之比的百分数。 4.等静压成型:等静压成型是装在封闭模具中的粉体在各个方向同时均匀受压成型的方法。 5.快速烧成:烧成时间大幅缩短而产品性能与通常烧成的性能相近得烧成方法称为快速烧成。 6.陶瓷的显微结构:显微结构是指在光学或电子显微镜下分辨出的试样中所含相的种类及各相的数量、颗粒大小、形状、分布取向和它们相互之间的关系。 7.微波干燥:微波干燥是以微波辐射使生坯内极性强的分子,主要是水分子的运动随交变电场的变化而加剧,发生摩擦而转化为热能使生坯干燥的方法。 8.烧成温度:烧成温度是指陶瓷坯体烧成时获得最优性能时的相应温度(即烧成时的止火温度)。 9.一次粘土——母岩经风化、蚀变作用后形成的残留在原生地,与母岩未经分离的粘土。 10.二次粘土——一次粘土从原生地经风化、水力搬运到远地沉积下来的粘土。 11.陶瓷工艺——生产陶瓷制品的方法和过程。 12.粉碎——使固体物料在外力作用下,由大块分裂成小块直至细粉的操作。 13.练泥——用真空练泥机或其他方法对可塑成型的坯料进行捏练,使坯料中气体逸散、水分均匀、提高可塑性的工艺过程。 14.陈腐——将坯料在适宜温度和高湿度环境中存放一段时间,以改善其成型性能的工艺过程。 15.筛余量——指物料过筛后,筛上残留物的重量占干试样总重量的百分数。 16.成型——将坯料制成具有一定形状和规格的坯体的操作。 17.可塑成型——在外力作用下,使可塑坯料发生塑性变形而制成坯体的方法。 18.注浆成型——将泥浆注入多孔模型内,当注件达到所要求的厚度时,排除多余的泥浆而形成空心注件的注浆法。 19.干燥制度——为达到最佳的干燥效果,对干燥过程中各个阶段的干燥时间和速度、干燥介质的温度和湿度等参数的规定。 20.烧成制度——为烧成合格陶瓷制品和达到最佳烧成效果,对窑内温度、气氛、压力操作参数的规定。 21.一次烧成——施釉或不施釉的坯体,不经素烧直接烧成制品的方法。 22.氧化气氛——窑内气体具有氧化能力,其空气过剩系数大于1,称窑内气氛为氧化气氛。 23.陶器——一种胎体基本烧结、不致密、吸水率大于3%、无透光性、断面粗糙无光、敲击声沉浊的一类陶瓷制品。 24.瓷器——陶瓷制品中,胎体玻化或部分玻化、吸水率不大于3%、有一定透光性、断面细腻呈贝壳状或石状、敲击声清脆的一类制品。

半导体器件与工艺课程设计

课程设计 课程名称微电子器件工艺课程设计 题目名称 PNP双极型晶体管的设计 学生学院___ 材料与能源学院___ _ 专业班级 08微电子学1班 学号 3108008033 学生姓名____ 张又文 __ _ 指导教师魏爱香、何玉定 ___ 2011 年 7 月 6 日

广东工业大学课程设计任务书 题目名称 pnp 双极型晶体管的设计 学生学院 材料与能源学院 专业班级 微电子学专业08级1班 姓 名 张又文 学 号 3108008033 一、课程设计的内容 设计一个均匀掺杂的pnp 型双极晶体管,使T=300K 时,β=120。V CEO =15V,V CBO =80V.晶体管工作于小注入条件下,最大集电极电流为I C =5mA 。设计时应尽量减小基区宽度调制效应的影响。 二、课程设计的要求与数据 1.了解晶体管设计的一般步骤和设计原则 2.根据设计指标设计材料参数,包括发射区、基区和集电区掺杂浓度N E , N B ,和N C , 根据各区的掺杂浓度确定少子的扩散系数,迁移率,扩散长度和寿命等。 3.根据主要参数的设计指标确定器件的纵向结构参数,包括集电区厚度W c ,基本宽度W b ,发射区宽度W e 和扩散结深X jc , 发射结结深X je 等。 4.根据扩散结深X jc , 发射结结深X je 等确定基区和发射区预扩散和再扩散的扩散温度和扩散时间;由扩散时间确定氧化层的氧化温度、氧化厚度和氧化时间。 5.根据设计指标确定器件的图形结构,设计器件的图形尺寸,绘制出基区、发射区和金属接触孔的光刻版图。 6. 根据现有工艺条件,制定详细的工艺实施方案。 7.撰写设计报告 三、课程设计应完成的工作 1. 材料参数设计

陶瓷工艺学及答案

1、陶瓷原料按工艺特性可分为哪四类原料? 一般按原料的工艺特性分为:可塑性原料、瘠性原料、熔剂性原料与功能性原料四大类。 2、传统陶瓷的三大类原料就是什么? 答:粘土、石英、长石 3、指出粘土、粘土矿物、高岭土、高岭石的差异 答:黏土就是一类岩石的总称,这有利于区分黏土、黏土矿物、高岭土、高岭石等这些名词的不同 黏土矿物:含水铝硅酸盐,组成黏土的主体,其种类与含量就是决定黏土类别、工业性质的主要因素。高岭土主要由高岭石组成的黏土称为高岭土。 4、说明原生粘土与次生粘土的特点 答:原生粘土:一次粘土,母岩风化后在原地留下来的粘土,产生的可溶性盐被水带走,因此质地较纯,耐火度高,颗粒较粗,可塑性差; 次生粘土:二次粘土、沉积粘土,由河水或风力将风化产生的粘土迁移至低洼地带沉淀所成。颗粒较细,可塑性好,夹杂其它杂质,耐火度差。 5、粘土按耐火度可分为哪几类,各自特点就是什么?P17 6、粘土的化学组成主要就是什么?主要化学成分为SiO2、A12O3与结晶水(H2O)。 分别说明氧化铝、二氧化硅、氧化铁/二氧化钛、碱金属/碱土金属氧化物、有机质对粘土烧结的影响

(1)SiO2 :若以游离石英状态存在的SiO2多时,黏土可塑性降低,但就是干燥后烧成收缩小。 (2)Al2O3 :含量多,耐火度增高,难烧结。 (3)Fe2O3<1%,TiO2 <0、5%:瓷制品呈白色,含量过高,颜色变深,还影响电绝缘性。 (4)CaO、MgO、K2O、Na2O:降低烧结温度,缩小烧结范围。 (5) H2O、有机质:可提高可塑性,但收缩大。 7、粘土中根据矿物的性质与数量可以分为哪两类?哪些就是有益杂质矿物,哪些就是有害杂质? 根据性质与数量分为两大类:黏土矿物与杂质矿物 有益杂质:石英、长石 有害杂质:碳酸盐、硫酸盐、金红石、铁质矿物 8、指出碳酸盐、硫酸盐对陶瓷烧结的影响 碳酸盐主要就是方解石、菱镁矿;硫酸盐主要就是石膏、明矾石等。一般影响不大,但以较粗的颗粒存在时。往往使坯体烧成后吸收空气中的水分而局部爆裂。 9、粘土矿物主要有哪三类?各自结构上有什么特点?试用材料分析手段说明如何鉴别高岭石、蒙脱石等 粘土矿物。a.高岭石类: b.蒙脱石类: c.伊利石类:杆状以及蠕虫状。二次高岭土中粒子形状不规则,边缘折断,尺寸较小。为Al2O3·4SiO2·nH2O 高岭石属三斜晶系,常

微电子工艺习题总结(DOC)

1. What is a wafer? What is a substrate? What is a die? 什么是硅片,什么是衬底,什么是芯片 答:硅片是指由单晶硅切成的薄片;芯片也称为管芯(单数和复数芯片或集成电路);硅圆片通常称为衬底。 2. List the three major trends associated with improvement in microchip fabrication technology, and give a short description of each trend. 列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势 答:提高芯片性能:器件做得越小,在芯片上放置得越紧密,芯片的速度就会提高。 提高芯片可靠性:芯片可靠性致力于趋于芯片寿命的功能的能力。为提高器件的可靠性,不间断地分析制造工艺。 降低芯片成本:半导体微芯片的价格一直持续下降。 3. What is the chip critical dimension (CD)? Why is this dimension important? 什么是芯片的关键尺寸,这种尺寸为何重要 答:芯片的关键尺寸(CD)是指硅片上的最小特征尺寸; 因为我们将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易产生。 4. Describe scaling and its importance in chip design. 描述按比例缩小以及在芯片设计中的重要性 答:按比例缩小:芯片上的器件尺寸相应缩小是按比例进行的 重要性:为了优电学性能,多有尺寸必须同时减小或按比例缩小。 5. What is Moore's law and what does it predict? 什么是摩尔定律,它预测了什么 答:摩尔定律:当价格不变时,集成电路上可容纳的晶体管数,月每隔18个月便会增加1倍,性能也将提升1倍。 预言在一块芯片上的晶体管数大约每隔一年翻一番。 第二章 6. What is the advantage of gallium arsenide over silicon? 砷化镓相对于硅的优点是什么 答:优点:具有比硅更高的电子迁移率;减小寄生电容和信号损耗的特性;集成电路的速度比硅电路更快;材料的电阻率更大。 7. What is the primary disadvantage of gallium arsenide over silicon? 砷化镓相对于硅的主要缺点是什么 答:主要缺点:缺乏天然氧化物;材料的脆性;成本比硅高10倍;有剧毒性在设备,工艺和废物清除设施中特别控制。

集成电路工艺考试题

一、名词解释 (1)化学气相沉积:化学气体或蒸气和晶圆表面的固体产生反应,在表面上以薄膜形式产 生固态的副产品,其它的副产品是挥发性的会从表面离开。 ( 2)物理气相沉积:“物理气相沉积” 通常指满意下面三个步骤的一类薄膜生长技术: a.所生长的材料以物理的方式由固体转化为气体 ; b.生长材料的蒸汽经过一个低压区域到达衬 底 ;c.蒸汽在衬底表面上凝聚,形成薄膜 (3)溅射镀膜:溅射镀膜是利用电场对辉光放电过程中产生出来的带电离子进行加速,使其 获得一定的动能后,轰击靶电极,将靶电极的原子溅射出来,沉积到衬底形成薄膜的方法。 (衬(4) 蒸发镀膜:加热蒸发源,使原子或分子从蒸发源表面逸出,形成蒸汽流并入射到硅片底) 表面,凝结形成固态薄膜。 (5)替位式扩散:占据晶格位置的外来原子称为替位杂质。只有当替位杂质的近邻晶格上出 现空位,替位杂质才能比较轻易地运动到近邻空位上 (6)间隙式扩散:间隙式扩散指间隙式杂质从一个间隙位置运动到相邻的间隙位置。 (7)有限表面源扩散:扩散开始时,表面放入一定量的杂质源,而在以后的扩散过程中不再 有杂质加入,此种扩散称为有限源扩散。 (8)恒定表面源扩散:在整个扩散过程中,杂质不断进入硅中,而表面杂质浓度始终保持不 变。 (9)横向扩散:由于光刻胶无法承受高温过程,扩散的掩膜都是二氧化硅或氮化硅。当原子 扩散进入硅片,它们向各个方向运动:向硅的内部,横向和重新离开硅片。假如杂质原子沿 硅片表面方向迁移,就发生了横向扩散。 (10)保形覆盖:保形覆盖是指无论衬底表面有什么样的倾斜图形在所有图形的上面都能沉积 有相同厚度的薄膜。 二、简述题 1、简述两步扩散的含义与目的。 答:为了同时满足对表面浓度、杂质总量以及结深等的要求,实际生产中常采用两步扩散工艺:第一步称为预扩散或预淀积,在较低的温度下,采用恒定表面源扩散方式在硅片表面扩 散一层杂质原子,其分布为余误差涵数,目的在于控制扩散杂质总量;第二步称为主扩散或 再分布,将表面已沉积杂质的硅片在较高温度下扩散,以控制扩散深度和表面浓度,主扩散的同时也往往进行氧化。 2、扩散掺杂与离子注入掺杂所形成的杂质浓度分布各自的特点是什么?与扩散掺杂相比离 子注入掺杂的优势与缺点各是什么? 答:扩散杂质所形成的浓度分布:杂质掺杂主要是由高温的扩散方式来完成,杂质原子通过气相源或掺杂过的氧化物扩散或淀积到硅晶片的表面,这些杂质浓度将从表面到体内单 调下降,而杂质分布主要是由温度与扩散时间来决定。离子注入杂质所形成的浓度分布:掺杂离子以离子束的形式注入半导体内,杂质浓度在半导体内有个峰值分布,杂质分布主要由离子质量和注入能量决定。 (1).离子注入掺杂的优势:相对于扩散工艺,离子注入的主要好处在于能更正确地控制掺 杂原子数目、掺杂深度、横向扩散效应小和较低的工艺温度,较低的温度适合对化合物半导 体进行掺杂,因为高温下化合物的组分可能发生变化,另外,较低的温度也使得二氧化硅、 氮化硅、铝、光刻胶、多晶硅等都可以用作选择掺杂的掩蔽膜,热扩散方法的掩膜必须是耐 高温材料。 (2)离子注入掺杂的缺点 :主要副作用是离子碰撞引起的半导体晶格断裂或损伤。因此,后 续的退化处理用来去除这些损伤。 3、简述离子注入工艺中退火的主要作用。 答:由于离子注入所造成的损伤区及畸形团,增加了散射中心及陷阱能级,使迁移率和寿命等半导体参数下降。此外,大部分的离子在被注入时并不位于替位位置,未退火之前的注入区域将呈显高阻区。为(1)激活被注入的离子(使其变成替位杂质);(2)恢复有序的晶

《微电子制造原理和技术》课程设计大纲-09

微电子制造原理与技术》课程设计大纲 一、课程名称:《微电子制造原理与技术》课程设计 开课专业:电子科学与技术 学分/总学时:2学分,2周 实验(上机)学时:40 先修课程:半导体工艺原理与技术,数字电路,专业实验,集成电路设计 制定人:李金华 制定时间:2009.12.28 二、课程的目的和任务 课程设计是学完一门课后应用本课程知识及以前的知识积累而进行的综合性、开放性、设计性的实践训练,是培养学生工程意识和创新能力的重要环节,是检验学生灵活和牢固掌握知识的重要手段。基于上述认识,决定开设《半导体工艺原理与技术》的课程设计实践环节。所以开设本课程的目的是,通过对本课程的实践,更加牢固和全面地掌握信息功能薄膜材料的制备方法和在微电子器件,特别是在集成电路工艺中的应用。通过本课程的训练,可以将《半导体工艺原理与技术》与《集成电路设 计》、《专业实验》更好地结合起来,使学生掌握更加全面的专业技能。由于电子科学与技术专业的学生学过了《集成电路设计》,希望学生能在这二周时间内得到一定的IC 设计与工艺锻炼,为以后的求职创造条件。 三、课程内容和基本要求 本课程设计的主要内容是将《半导体工艺原理与技术》的课程内容与《集成电路设计》、《专业实验》、《薄膜材料与薄膜技术》课程结合,结合LEDIT 软件的应用,作简单CMOS器件的版图和工艺设计。 本课程设计选择了35个简单实用的CMOS器件与典型工艺,要求学生通过对本课程和已学课程的复习,也可上网检索和阅读参考资料,从器件原理、逻辑图,用当前世界通用的集成电路设计软件LEDIT 设计版图。结合已学过的知识设计该器件的版图与工艺。对基础比较好的学生,可以对已经列出的35 个简单器件或工艺标准作合理提升,相应的课题将利用难度系数来提高成绩。

微电子工艺复习

第一章: 1.看懂这是一个三极管 利用基区、发射区扩散形成电阻的结构2.看懂电极 外延层电阻结构 3.看懂电极 MOS集成电路中的多晶硅电阻 4.电容结构包括哪些要素? 两端是金属,中间是介电材料。

集成电路中电容的结构5.这是电容结构 Pn结位于空间电荷区,是一个电容结构。 PN结电容结构 6. MOS场效应晶体管中以SiO2为栅极层 MOS场效应晶体管电容结构

7.有源器件? 二极管,三极管,MOS管 集成电路中二极管的基本结构 8.看懂二极管,三极管的结构 集成电路中二极管的结构 9.三极管分清npn与pnp?有什么区别?怎么画的? 结构上,NPN三极管的中间是P区(空穴导电区),两端是N区(自由电子导电区),而PNP三极管正相反。 使用上,NPN三极管工作时是集电极接高电压, 发射极接低电压,基极输入电压升高时趋向导通,基极输 入电压降低时趋向截止;而PNP三极管工作时则是集电极 接低电压,发射极接高电压,基极输入电压升高时趋向截 止,基极输入电压降低时趋向导通。 晶体管的基本结构

10.什么叫NMOS?什么叫PMOS? PMOS是指利用空穴来传导电性信号的金氧半导体。 NMOS是指利用电子来访传导电性信号的金氧半晶体管。 MOS管的结构图和示意图 11.集成电路包括哪些阶段?核心阶段? 阶段: 硅片(晶圆)的制备、掩膜版的制作、硅片的制造及元器件封装 集成电路制造的阶段划分 半导体芯片的制造框图

半导体芯片制造的关键工艺 12.硅的基本性质?它的优点? 硅的禁带宽度较大(1.12eV),硅半导体的工作温度可以高达200℃。硅片表面可以氧化出稳定且对掺杂杂质有极好阻挡作用的氧化层(SiO2) 优点: (1)硅的丰裕度硅是地球上第二丰富的元素,占到地壳成分的25%,经合理加工,硅能够提纯到半导体制造所需的足够高的纯度,而消耗的成本比较低。 (2)更高的熔化温度允许更宽的工艺容限硅的熔点是1412℃,远高于锗937℃的熔点,更高的熔点使得硅可以承受高温工艺。 (3)更宽的工作温度范围用硅制造的半导体器件可以工作在比锗制造的半导体器件更宽的温度范围,增加了半导体器件的应用范围和可靠性。 (4)氧化硅的自然生成硅表面有能够自然生长氧化硅(SiO2)的能力,SiO2是一种高质量、稳定的电绝缘材料,而且能充当优质的化学阻挡层以保护硅不受外部沾污。 13.硅生长有哪两个生长方法?用于什么样的地方? (1)直拉法(CZ) 直拉法生长单晶硅是将熔化了的半导体级多晶硅变成有正确晶向并被掺杂成N型或P型的固体硅锭。均匀的大直径晶体 (2)区熔法 区熔法是另一种单晶生长方法,它所生产的单晶硅中含氧量非常少,能生产目前为止最纯的单晶硅。 第二章 1.隔离分为哪些?怎么样来做隔离? ①PN结隔离 未加正向偏压的PN结几乎无电流流动,因而PN结可作器件隔离用,双极型集成电路中的隔离主要采用PN结隔离。

相关文档
相关文档 最新文档