文档库 最新最全的文档下载
当前位置:文档库 › 模拟电子电路multisim仿真(很全 很好)

模拟电子电路multisim仿真(很全 很好)

模拟电子电路multisim仿真(很全 很好)
模拟电子电路multisim仿真(很全 很好)

仿真

1.1.1 共射极基本放大电路

按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等

1.静态工作点分析

选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。

2.动态分析

用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。

3.参数扫描分析

在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。

4.频率响应分析

选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。

由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。

由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。

1.1.2共集电极基本放大电路(射极输出器)

图7.1-7为一共集电极基本放大电路,用仪器库的函数发生器为电路提供正弦输入信号VI(幅值为1V,频率为10 kHz)采用与共射极基本放大电路相同的分析方法获得电路的静态工作点分析结果。用示波器测得电路的输出,输入电压波形,选用交流频率分析项分析出电路的频率响应曲线及相关参数。

由图所示共集电极基本放大电路的频率响应曲线可求得:电路的上限频率(X2)为4.50GHz,下限频率(X1)为2.73Hz,通频带约为4.50GHz。

1.1.3共基极基本放大电路

图7.1-11为一共基极基本放大电路,用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kHz),采用与共射极基本放大电路相同的分析方法获得电路的静态工作点分析结果。用示波器测得电路的输出,输入电压波形,选用交流频率分析项分析出电路的频率响应曲线及相关参数。

由图所示共基极基本放大电路的频率响应曲线可求得:电路的上限频率(X2)为27.94MHz,下限频率(X1)为261.01Hz,通频带约为27.94MHz。

1.2 场效应管基本放大电路

1.2.1 共源极放大电路

共源极放大电路如图7.2-1所示,Q1选用三端式增强型N沟道绝缘栅场效应管。按图7.2-1在EWB主界面内搭建电路后,双击Q1,出现三端式增强型N-MOSFET参数设置对话框,选模型(Model) 项,将库元件设置为默认(default) ,理想(ideal) 模式,然后点击对话框右侧编辑(Edit) 按钮,在Sheet 1中将跨导系数(Transconductance coefficient (KP)) 设置为0.001A/V。

分析共源极放大电路可参照7.1节中共射极放大电路的分析过程进行,可根据图7.2-1电路参数和共源极放大器的电压放大倍数表达式求得A V的理论计算值,然后与仿真实测值进行比较。

1.2.2 共漏极放大电路

共漏极放大电路如图7.2-2所示,按图在EWB主界面内搭建电路后,选Q1为理想三端式增强型N沟道绝缘栅场效应管,并将跨导值设置为0.001A/V。电路仿真分析过程可参

见7.1节中共集电极放大电路的分析过程进行。

可根据图7.2-2电路参数和共源极放大器的电压放大倍数表达式求得A的理论计算值,然后与仿真实测值进行比较。

1.2.3共栅极放大电路

共栅极放大电路如图7.2-3所示,按图在EWB主界面内搭建电路后,选Q1为理想三端式增强型N沟道绝缘栅场效应管,并将跨导值设置为0.001A/V。电路仿真分析过程可参见7.1节中共基极放大电路的分析过程进行。

可根据图7.2-2电路参数和共源极放大器的电压放大倍数表达式求得A的理论计算值,然后与仿真实测值进行比较。

1.3场效应管与晶体管组合放大电路

场效应管具有输入阻抗高,噪声小等显著特点,但放大能力较弱(小),而半导体三极管具有较强的放大能力(高)和负载能力。若将场效应管与半导体三极管组合使用,就可大大提高和改善放大电路的某些性能指标,扩展场效应管的应用范围。

图7.3-1是由场效应管共源极放大电路和晶体管共射极放大电路组成的两极组合放大电路,图中三端式增强型绝缘栅场效应管Q1选用理想模型,将跨导gm设置为0.001A/V,晶体管Q2选用N2222A,其电流放大系数为255.9。先队该电路进行静态分析,再进行动态分析,频率特性分析以及关键元件的参数扫描分析等。

1.静态分析。选择分析菜单中的直流工作点分析项,获得电路静态分析结果。

2.动态分析。(1)理论分析。(2)仿真测试分析。用仪器库的函数发生器为电路提

供正弦输入信号(Vi的幅值为5mV,频率为10kHz),用示波器测得电路的输出,输入电压。

再计算出电路的放大倍数。

3.频率特性分析。

4.元件参数扫描分析。

1.4差动放大电路

差动放大电路是模拟集成电路中使用最广泛的单元电路,它几乎是所有集成运放,数据放大器,模拟乘法器,电压比较器等电路的输入级,又几乎完全决定着这些电路的差模输入特性。共模输入特性,输入失调特性和噪声特性。以下仅对晶体管构成的射极耦合差放和恒流源差放进行仿真分析,对用场效应管构成的差放电路可采用相同方法进行分析。

在图7.4-1所示差放电路中,晶体管Q1和Q2的发射极通过开关S1与射极电阻R3和Q3构成的恒流源有选择的连接(通过敲击”K”键,选择连接点9或11),完成射极耦合差放和恒流源差放两种电路的转换.

1.4.1 射极耦合差放仿真分析

按图7.4-1搭建电路,选择晶体管Q1,Q2和Q3均为2N2222A,电流放大系数为200。将开关S1和R3相连,构成射极偶合差放电路。

1.静态分析。选择分析菜单中的直流工作点分析项,获得电路静态分析结果。

2.动态分析。

(1)理论分析。

(2)差模输入仿真测试分析。A。用示波器测量差模电压放大倍数,观察波形相位关系。按单端输入方式(见图7.4-1)用仪器库的函数信号发生器为电路提供正

弦输入信号(Vi的幅值为10mV,频率为1kHz)。用示波器测得电路的两输出端输

出电压波形。B。差模输入频率响应分析。选择分析菜单中的交流频率分析

项(Analysis/AC Frequency Analysis)),在交流频率分析参数设置对话框中设定:

扫描起始频率为1Hz,中指频率为10GHz,扫描形式为十进制

(3),纵向刻度为线性,节点2为输出点。C。差模输入传递函数分析。从EWB信号源库中选择直流电压源(并将其设置为0.001V),替代仪器库中的函数发生器,做差放电路的输入信号源,以满足进行传递函数分析时对输入源的要求。射极耦合电路进行差模输入传递函数分析时的电路连接方式如图7.4-5所示。分析方法同上。D。共模输入仿真分析。按共模输入方式(见图7.4-8)用仪器库的函数发生器为电路提供正弦输入信号。用示波器测得电路的两输出端输出电压波形。

1.4.2 恒流源差放仿真分析

差放电路引入恒流源替代射极偏置电阻,对差动放大倍数没有影响,主要是为了进一步降低共模放大倍数,提高共模抑制比。因此,这里仅对恒流源差放的共模放大倍数进行仿真分析。对EWB主界面内所建图7.4-1所示电路,通过敲击“K”键,将Q1与Q2的射极通过开关S与节点11连接,使其成为恒流源差放电路。调整R6电阻,使恒流源差放的静态电流与射极耦合差放电路性同,便于两者进行比较。调整函数发生器,使输入正弦波VI的幅值为100,频率为1,输入信号以共模方式接入。示波器接输入电压,接输出电压。最终完成的恒流源差放电路共模放大倍数测试电路如图

7.4-10所示。

分析方法同上。

可见引入恒流源后,差放电路的共模放大倍数大大降低,共模抑制比大大提高,加强了抑制零点漂移的能力。

1.5集成运算放大器

运算放大器的类型很多,电路也不尽相同,但在电路结构上有共同之处。一般可分为三部分,即差动输入级,电压放大中间级和输出级。

输入级一般是有晶体管或场效应管组成的差动式放大电路,利用差放电路的对称性可以提高整个电路的共模抑制比和其他方面的性能,它的两个输入端构成整个电路的反相输入端和同相输入端。电压放大级主要作用是提高电压放大倍数,它可由一级或多级放大电路组成。输出级一般由射极跟随器或互补射极跟随器组成,主要作用是提高输出功率。

图7.5-1是在EWB主界面内搭建的一个简单的集成运算放大器,Q1,Q2组成差动式放大器,信号由双端输入,单端输出。 Q3,Q4组成复合管共射极放大电路,以提高整个电路的电压放大倍数。输出极由Q5,Q6组成的两极射极跟随器构成,不仅可以提高带负载能力,而且与R5配合,可使直流电位步步降低,实现输入信号电压Vi为零时,输出电压V o=0。输入端Vi-运放的反相输入端,Vi+是同相输入端。

集成运放的仿真分析:

1.静态分析

令输入信号电压为零(两输入端接地),选择分析菜单中的直流工作点分析项

(Analysis/DC Operating Point),分析结果后,观察输出端Vo(节点19)直流电位

是否为零?若不为零,则调整R5的阻值,使输出端电位为零。

3.动态分析

(1)传函数分析

将简单集成运放的同相和反相输入端分别接入信号源库中的直流电压源,并将其电压值设置为1mV,其连接方式如图7.5-3所示。

A 同相输入方式下的传递函数分析

选择分析菜单中的传递函数分析项(Analysis/Transfer Function Analysis),在随后出现的传递函数分析设置对话框中设置输入源为V4,分别设置输出端为节点15,10和19。每重设一次仿真按钮(Simulate),进行一次传递函数仿真分析。

B 反相输入方式下的传递函数分析

选择分析菜单中的传递函数分析相(Analysis/Transfer Function Analysis),在随后出现的传递函数分析设置对话框中设置输入源为V3,设置输出端为节点19。

(2)工作电压波形测试。

A 反相输入方式波形测试。

按差模单端输入方式,将仪器库的函数发生器为电路提供的正弦输入信号(VI的幅值为2mV,频率为1kHz)接在反相与同相端之间,并将同相输入端接地,其连接方式如图7.5-6所示。用示波器测得电路的反相输入端(V-)和输出端(Vo)电压波形。

B 同相输入方式波形测试。

按差模单端输入方式,将仪器库的函数发生器为电路提供的正弦输入信号(VI的幅值为2mV,频率为1kHz)接在同相与反相端之间,并将反相输入端接地。用示波器测得电路的同相输入端(V+)和输出端(V o)电压波形。

对简单集成运放波形测试的结果与传递函数分析结果完全一致,通过示波器对输入,输出波形的观测,直观的反映出运放同相输入端和反相输入端与输出端之间的相位关系。

1.6功率放大电路

在电子电路中,人们对电压放大器的主要要求是使负载得到不失真的电压信号,其考核的主要指标是电压放大倍数,输入和输出电阻等,对输出功率基本没有较高要求。而功率放大器则不同,对它的主要要求是具有一定的不失真(或失真较小)的输出功率,通常是在大信号下工作,因此着重要解决好输出功率大,效率高和非线性失真之间的矛盾。以下分别对双电源和单电源互补对称功放电路进行仿真分析。

1.6.1双电源互补对称(OCL)功放电路

图7.6-1为采用双电源的互补对称功放电路(也称OCL电路),调节函数发生器,令输入正弦波电压Vi峰值为10V,频率为1kHz图中D1,D2和RW为T1,T2提供适当静态偏置,克服由晶体管门坎电压造成的交越失真。用示波器同时观察输入,输出波形,敲击R键,调节RW的大小,改变T1,T2的偏置电压,直至消除交越失真为止。敲击A键,改变开关S1的通断,可以观察到交越失真现象。

1.6.2单电源互补对称(OTL)功放电路

图7.6-4为一带自举电路的单电源互补对称功放电路(也称OTL电路),按图连

接好电路之后,敲击R键,调节RW2使K点直流电位为1/2VCC。调节函数发

生器使输入正弦电压(Vi)峰值10mV,频率为1kHz。用示波器同时观察输入

(VA),输出(VB)电压波形,敲击W键,调节RW1可以克服交越失真。

图中电阻R与电容C组成自举电路,用来提高输出电压正半周的峰值。可同通过电容C断开与接入时输出电压正半周的变化来观察自举电路的作用。

用示波器测得单电源互补对称功放电路输入(V A),输出(VB)工作电压波形。与上一个波形相比,可见,单电源互补对称功放电路与双电源功放电路相比,输出电压正,负两半周对称性稍差。

1.7 负反馈放大器

图7.7-1为一分立元件构成的两级共射放大电路,电路引入交流电压串联负反馈,反馈网络由REF,RF和CF组成。通过开关SO的通断,控制反馈网络的接入与断开。开关S1的通断,控制着负载电阻(RL)的接入与通断。以下通过对该电路的仿真分析,验证负反馈的基本理论,并进一步加深对这些基本理论的理解。

电路的反馈系数:FV=0.07

1.测量开环电压放大倍数

敲击C键,将开关SO断开,输入正弦电压(VI)峰值为20MV,频率为1KHZ。用示波器测量输入,输出电压的峰值VO(将示波器面板展开,拖曳读数指针读取)。

2.测量闭电压放大倍数

敲击C键,将开关S0闭合,将输入电压幅值调整为200MV,重复上述过程,测得引入反馈后的输入,输出电压波形。

3.测量反馈放大器开环时的输出电阻

在放大器开环时通过敲击B键,控制开关S1的断开与闭合。打开数字多用表,置于正弦电压有效值测试档,分别测得负载开路时输出电压和负载接入时输出电压,并算出RO

4.测量反馈放大器闭环时的输出电阻

在放大器闭环工作时通过敲击B键,控制开关S1的断开与闭合。打开数字多用表,置于正弦电压有效值测试档,分别测得负载开路时输出电压和负载接入时输出电压,并算出RO

5. 测量反馈放大器开环时的频率响应

令放大器工作在开环状态,选择EWB分析菜单中的交流频率分析项,将交流频率分析设置对话框中扫描的起始和终止频率分别设置为1HZ和1GHZ,扫描形式选择十进制,显示点数按缺省设置,纵向标度选择线性,选择节点8作输出节点。按仿真键后,得到反馈放大器开环频率响应曲线。

6. 测量反馈放大器闭环时的频率响应

令放大器工作在闭环状态,选择EWB分析菜单中的交流频率分析项,对话框参数设置与开环时的设置相同。按仿真键后,得到放大器闭环频率响应曲线。

7.观察引入负反馈和无反馈对放大器非线性失真的改善

在有负反馈和无反馈两种情况下,分别增加输入正弦信号电压的幅值,是输出电压峰值均达到4.5V左右,对比有,无负反馈情况下的输出波形,可看到引入负反馈后,非线性失真得到明显改善(波形正,负两半周的对称性明显提高)。

1.8 RC正弦波振荡电路

RC正弦波振荡主要讨论以下电路:二极管稳幅的RC桥式振荡器,RC移相式振荡器,场效应管稳幅的桥式振荡器和RC双T反馈式振荡器,只要按图示元件参数连接好电路,将仪器库中的示波器连接到振荡器的输出端VO,打开电源开关,即可观察到振荡器的输出正弦电压波形,通过这些电路,我们可以对RC振荡器的振荡条件,起振过程,稳幅措施以及选频网络的选频特性等做较深入研究。另外,还可以由示波器测出电路的振荡周期和振荡频率,然后与理论值加以比较,从而加深对基本理论的理解。

1.8.1二极管稳幅的RC桥式振荡器

图7.8-1是一个二极管稳幅的RC桥式振荡电路,电路中R1,R2,C1,C2构成R,C串,并联选频网络。我们首先对选频网络进行选频特性分析,在EWB主界面内重建选频网络电

路如图7.8-2所示。规定好电路的输入,输出节点,用仪器库的函数发生器在输入端加交流正弦电压(Vi幅值为5V,频率为10KHz。选择分析菜单中交流频率分析项分析选频网络后得幅频响应和相频响应曲线。

振荡电路中二极管D1,D2构成稳幅环节,调节R4可观察幅度条件改变对振荡的影响。控制开关S1的通,断(或者通断电源)可由示波器观察振荡器起振与稳幅过程。

1.8.2场效应管稳幅的RC桥式振荡器

图7.8-5为一采用场效应管稳幅的RC桥式振荡器,在此电路中,由Q1,R3,R6构成稳幅环节。C3,R5,R7,R4,D1各元件组成输出电压负半波整流滤波电路,为N沟道结型场

效应管Q1提供一可调的直流负偏压,以调整场效应管的沟道电阻。

当电路连接完毕进行仿真实验时,可先调R5使Q1的栅偏压为零(栅极接地),再调整R6使电路产生振荡(此时输出电压波形失真较严重),此时再调节R5增加Q1的栅极负偏压值,输出电压波形失真会得到明显改善,直到满意为止。

电路的起振与稳幅过程说明如下:电路起振时,输出电压为零,二极管D1截止,Q1栅偏压为零,沟道电阻小,放大器电压放大倍数大,因为电路满足振荡条件,所以输出电压波形幅值将由零开始急剧增大。随着输出电压幅值的增大,二极管D1导通,Q1的负栅压伴随着输出电压幅值增大而增大。受不断增大的负栅压影响,Q1的沟道电阻也在不断增大,与此同时受Q1沟道电阻增大的影响放大器的电压放大倍数也在不断减小。如果R6和R5参数调整合适,在输出电压峰值产生非线性失真之前,电路的环路放大倍数:AF由大于1减小到等于1。此时输出电压稳定,整个振荡电路的起振与稳幅过程结束。

1.8.3RC移相式振荡器

RC移相式正弦振荡如图7.8-6所示,

该电路是由反相放大器与三节RC移相网络组成,因为未采取稳幅措施,所以输出波形顶部有明显的非线性失真。要满足振荡相位条件,要求RC移相网络完成180度相移。因为一节RC移相网络的极限为90度。因此,必须采用三节(或三节以上)RC移相网络,才能实现180度相移。

1.8.4RC双T反馈式振荡器

图7.8-7为一RC双T反馈式振荡器,其中C1,C2,C3,R3,R4,R5组成双T负反馈网络(完成选频作用)。电路中两稳压管Dz1,Dz2具有稳幅的功能,用来改善输出波形。

我们首先对双T负反馈网络的选频特性进行分析,在EWB主界面内重建双T网络电路如图7.8-8所示。

规定好电路的输入,输出节点,用仪器库的函数发生器在输入端加交流正弦电压(VI的幅值为5V,频率为10KHZ)。以节点8为输出端。选择分析菜单中交流频率分析项分析双T 网络后得幅频响应和相频响应曲线。

1.9LC正弦波振荡器

LC振荡器主要用来产生高频正弦信号。振荡器的选频网络是由电感和电容组成,一般可分为变压器反馈式和三点式等类型。

1.9.1LC并联谐振回路的选频特性

LC并联谐振回路决定了LC振荡器的振荡频率,下面通过交流频率分析,说明LC并联

谐振贿赂的选频特性。

在EWB主界面内搭建一LC并联谐振测试电路如图7.9-1所示,在信号源库内选择正弦交流电压源做其激励信号,选择分析菜单中的交流频率分析项,在交流频率分析参数设置对话框中设置扫描的起始与终止频率分别为200HZ和1GHZ,扫描形式为十进制,显示点数为缺省设置,纵向尺度为线性,分析输出为节点1。点击仿真按钮得到交流频率仿真结果。

1.9.2变压器反馈式LC振荡器

在EWB主界面内搭建变压器反馈式振荡电路如图7.9-3所示,

变压器T1作反馈元件,其二次绕组与电容C1构成并联谐振选频网络。将变压器的电感量设置为0.001H,电容C1的容量设置为0.001微F。反馈量由二次绕组抽头引入共基极放大器的输入端,可以减小放大器输入阻抗对LC并联谐振回路品质因数(Q)值的影响。

选择分析菜单中的直流工作点分析项,对振荡电路静态情况进行分析,分析结果表明放大器工作正常。

1.9.3三点式LC振荡器

图7.9-5为一三点式LC正弦波振荡器,分析如下:

(1)判断该电路属何种类型三点式电路

(2)用分析菜单中的直流工作点分析项分析电路的静态工作点。

(3)用仪器库中的示波器测量电路的振荡频率。

(4)通过理论分析求得电路的振荡频率并与实测值进行比较。

1.10运算放大器组成的信号运算电路

1.10.1反相比例运算电路

在EWB主界面内搭建反相比例运算电路如图7.10-1所示,将输入直流电压源设定为1V,在显示器件库内选择电压表接于输出端(接点2)。电路连接完毕,将电源开关闭合,电路运算结果即显示于电压表内(本例内输出电压为10V)。

运算关系:VO=(—R1/R2)*V1=—10V1=—10V,反相比例系数为—10。

选择分析菜单中的传递函数分析选项,在传递函数分析参数设置对话框中将输入源设置V1,输出端设置为节点2,点仿真按钮后,得到传递函数分析结果。

1.10.2同相比例运算电路

同相比例运算电路如图7.10-3所示。

运算关系式:VO=(1+R3/R2)

*V1=11V1=11V,同相比例系数为11。

选择分析菜单中的传递函数分析选项,在传递函数分析参数设置对话框中将输入源设置V1,输出端设置为节点4,点仿真按钮后,得到传递函数分析结果。

1.10.3加法运算电路

加法运算电路如图7.10-5所示。

运算关系式:VO=(—R3/R1)*V1+(—R3/R2)*V2=(—5)V1+(—4)V2=—7V。

选择分析菜单中的传递函数分析选项,在传递函数分析参数设置对话框中将输入源分别设置为V1和V2,输出端设置为节点1,点两次仿真按钮后,得到传递函数分析结果。

1.10.4减法运算电路

电路如图7.10-7所示。

运算关系式:VO=[(R1+R4/R1)*(R3/R2+R3)]—(R4/R1)*V1=5V2—5V1=5V。

选择分析菜单中的传递函数分析选项,在传递函数分析参数设置对话框中将输入源分别设置为V1和V2,输出端设置为节点1,点两次仿真按钮后,得到传递函数分析结果。

1.10.5积分运算电路

电路如图7.10-9所示。

敲击B键,拨动开关S2,令积分电路输入端接—1V直流电压。敲击D键,通过开关S1的通,断,在示波器上观察积分过程。积分关系式:VO=—V1/RC*t。

设置函数发生器输出(频率5HZ,占空比50%,幅度1V)连续方波电压,拨动开关S2,将方波输入积分器,由示波器同时观察积分器的输入(V A)和输出(VB)电压波形。由图可知,积分器可以将连续的方波信号电压转换为连续的三角波电压。

1.10.6微分运算电路

微分电路如图7.10-12所示

将函数发生器设置为连续方波(频率5HZ,占空比50%,幅度1V)输出方式,将其连接到微分器的输入端。由示波器同时观察积分器的输入(V A)和输出(VB)电压波形。由图可知,积分器可以将连续的方波转换为正负相间的连续尖脉冲。

1.10.7仪用测量放大器

图7.10-14所示电路,是一个具有高输入阻抗,低输出阻抗的仪用测量放大器。

理论分析得:基本运算关系式为:VO=(R4/R3)*(1+2R2/R1)*(V2—V1)=110(V2—V1)

放大倍数(传递函数):A V=VO/(V2—V1)=110

选择分析菜单中的传递函数分析选项,在传递函数分析参数设置对话框中将输入源设置为V1,输出端设置为节点9,点仿真按钮后,得到传递函数分析结果。

1.11模拟乘法器及其应用电路

1.11.1模拟乘法器作乘法运算

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

实验1:电路仿真工具multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列):

电源组信号源基本器件组 (1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

Multisim电路仿真应用

Multisim电路仿真及应用 仿真实训一:彩灯循环控制器的设计与仿真分析变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢?这就是我们下面要讨论的课题—彩灯循环控制电路。 电路设计分析彩灯循环控制技术指标: 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出。 仿真实训二:交通信号灯控制系统的设计与仿真分析十字路口的交通信号灯是我们每天出行时都会遇到的,信号灯指挥着行人和各种车辆安全有序的通行。实现红、绿灯的自动控制是城市交通管理现代化的重要课题,合适的信号灯指挥系统可以提高城市交通的效率。下面我们以该课题为例进行设计与仿真分

析。 电路设计分析交通信号灯控制系统的技术指标: 1.主、支干道交替通行,主干道每次放行30s,支干道每次放行20s。 2.绿灯亮表示可以通行,红灯亮表示禁止通行。 3.每次绿灯变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。 4.十字路口要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。 5.在黄灯亮时,原红灯按1HZ的频率闪烁。 6.要求主、支干道通行时间及黄灯亮的时间均可在0-99s任意设定。 仿真实训三:篮球比赛24秒倒计时器的设计与仿真分析电路设计分析: 计时器在许多领域均有普遍的应用,篮球比赛中除了有总时间倒计时外,为了加快比赛节奏,新的规则还要求进攻方在24秒有一次投篮动作,否则视为违规。 本设计题目“篮球比赛24秒倒计时器”从数字电路角度讨论,实际上就是一个二十四进制递减的计数器。 电路设计技术指标: 1.能完成24秒倒计时功能。 2.完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.wendangku.net/doc/657237583.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.wendangku.net/doc/657237583.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.wendangku.net/doc/657237583.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.wendangku.net/doc/657237583.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

最新模拟电子电路multisim仿真(很全 很好)资料

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器)

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

Multisim电路仿真

Multisim电路仿真 示例1.直流电路分析 步骤一:文件保存 打开Multisim 软件,自动产生一个名为Design1的新文件。 打开菜单File>>Save as…,将文件另存为“CS01”(自动加后缀) 步骤二:放置元件 打开菜单Place>>Component… 1.选择Sources(电源)Group (组),选择POWER_SOURCES(功率源)Family(小组),在元件栏中用鼠标双击DC_POWER,将直流电源放置到电路工作区。 说明:所有元件按Database -> Group -> Family 分类存放

2.继续放置元件: Sources Group –>POWER_SOURCES Family->ROUND(接地点 Basic Group->RESISTOR Family(选择5个电阻) 3.设定元件参数。采用下面两种方式之一 1)在放置元件时(在一系列标准值中)选择; 2)在工作区,鼠标右键点击元件,在Properties (属性)子菜单中设定。 步骤三.根据电路图连线 用鼠标拖动元件到合适位置,如果有必要,鼠标右键点击元件,可对 其翻转(Flip)或旋转(Rotate)。连线时先用鼠移至一个元件的接线端, 鼠标符号变成叉形,然后拖动到另一结点,点击右键确认连线。 若需显示全部节点编号,在菜单 Option>>Sheet Properties>>Sheet visibility 的Net names 选板中选中show all。

步骤四.电路仿真 选择菜单Simulate>>Analyses>>DC operating point…(直流工作点分析) 在DC operating point analysis窗口中,选择需要分析的变量(节点电压、元件电流或功率等)。

电路分析multisim仿真实验二

电路分析Multisim仿真实验二 验证欧姆定律 1.实验要求与目的 (1)学习使用万用表测量电阻。 (2)验证欧姆定律。 2. 元器件选取 (1)电源:Place Source→POWER_SOURCES→DC_POWER,选取直流电源,设置电源电压为12V。 (2)接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。(3)电阻:Place Basic→RESISTOR,选取R1=10Ω,R2=20Ω。 (4)数字万用表:从虚拟仪器工具栏调取XMM1。 (5)电流表:Place Indicators→AMMETER,选取电流表并设置为直流档。 3. 仿真实验电路 图1 数字万用表测量电阻阻值的仿真实验电路及数字万用表面板

图2 欧姆定律仿真电路及数字万用表面板 4.实验原理 欧姆定律叙述为:线性电阻两端的电压与流过的电流成正比,比例常数就是这个电阻元件的电阻值。欧姆定律确定了线性电阻两端的电压与流过电阻的电流之间的关系。其数学表达式为U=RI,式中,R为电阻的阻值(单位为Ω);I为流过电阻的电流(单位为A);U为电阻两端的电压(单位为V)。 欧姆定律也可以表示为I=U/R,这个关系式说明当电压一定时电流与电阻的阻值成反比,因此电阻阻值越大则流过的电流就越小。 如果把流过电阻的电流当成电阻两端电压的函数,画出U(I)特性曲线,便可确定电阻是线性的还是非线性的。如果画出的特性曲线是一条直线,则电阻式线性的;否则就是非线性的。 5.仿真分析 (1)测量电阻阻值的仿真分析 ①搭建图1所示的用数字万用表测量电阻阻值的仿真实验电路,数字万用表按图设置。 ②单击仿真开关,激活电路,记录数字万用表显示的读数。 ③将两次测量的读数与所选电阻的标称值进行比较,验证仿真结果。 (2)欧姆定律电路的仿真分析 ①搭建图2所示的欧姆定律仿真电路。 ②单击仿真开关,激活电路,数字万用表和电流表均出现读数,记录电阻R1两

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

模拟电路Multisim软件仿真教程

第13章 Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

基于Multisim的三极管放大电路仿真分析【VIP专享】

基于Multisim 的三极管放大电路仿真分析 来源:大比特半导体器件网 引言 放大电路是构成各种功能模拟电路的基本电路,能实现对模拟信号最基本的处 理--放大,因此掌握基本的放大电路的分析对电子电路的学习起着至关重要的作 用。三极管放大电路是含有半导体器件三极管的放大电路,是构成各种实用放大 电路的基础电路,是 《模拟电子技术》课程中的重点内容。 在课程学习中,一再向学生强调,放大电路放大的对象是动态信号,但放大电 路能进行放大的前提是必须设置合适的静态工作点,如果静态工作点不合适,输 出的波形将会出现失真,这样的 “放大”就毫无意义。什么样的静态工作点是 合适的静态工作点;电路中的参数对静态工作点及动态输出会产生怎样的影响 ;正 常放大的输出波形与失真的输出波形有什么区别 ;这些问题单靠课堂上的推理 及语言描述往往很难让学生有一个直观的认识。 在课堂教学中引入 Multisim 仿真技术,即时地以图形、数字或曲线的形式 来显示那些难以通过语言、文字表达令人理解的现象及复杂的变化过程,有助于 学生对电子电路中的各种现象形成直观的认识,加深学生对于电子电路本质的理 解,提高课堂教学的效果。实现在有限的课堂教学中,化简单抽象为具体形象, 化枯燥乏味为生动有趣,充分调动学生的学习兴趣和自主性。 1 Multisim 10 简介 Multisim 10 是美国国家仪器公司(NI 公司)推出的功能强大的电子电路仿 真设计软件,其集电路设计和功能测试于一体,为设计者提供了一个功能强大、 仪器齐全的虚拟电子工作平台,设计者可以利用大量的虚拟电子元器件和仪器仪 表,进行模拟电路、数字电路、单片机和射频电子线路的仿真和调试。 Multisim 10 的主窗口如同一个实际的电子实验台。屏幕中央区域最大的窗 口就是电路工作区,电路工作窗口两边是设计工具栏和仪器仪表栏。设计工具栏 存放着各种电子元器件,仪器仪表栏存放着各种测试仪器仪表,可从中方便地选 择所需的各种电子元器件和测试仪器仪表在电路工作区连接成实验电路,并通过 “仿真”菜单选择相应的仿真项目得到需要的仿真数据。 2 三极管放大电路的仿真分析 、管路敷设技术通过管线不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行 高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况 ,然后根据规范与规程规定,制定设备调试高中资料试卷方案。 、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

Multisim数字电路仿真快速上手教程

Multisim 快速上手教程每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!!以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为 KVL+KC)L 来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft 来做数字电路,都到了做出 8bitCPU 的水平(、l )。这个很神奇。 以下进入正文 首先,下载Multisim 安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12 是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12 了。 然后运行,在Circuit Design Suite12.0 里,有一个multisim ,单击运行。进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。现在来以一个简单的数字逻辑电路为例:菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。来个7400 吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD-INPUT NAND 即是“四个双输入与非门”的意思。 点击确认,放置元件。 A B C、D在这里指一块7400里的四个双输入与非门,点击即可放置。看起来很和谐,那就做个RS 触发器吧。 这里输出用的是一种虚拟器件PROB,在Indicators 组,图标就是个数码管的那个。功能相当于实验箱上那些LED也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。接下来解决输入,同样仿照实验箱上方式解决——使用单刀双掷开关(英文简称SPDT) 这里介绍的技巧就是,在上述的界面里,把组选到所有组、系列选到所有系列,在元器件里输入“ SPDT,可以使用“ *”做通配符代替元件代号不清楚的地方。 同样的方法加入VCCDGND应该要与GROUN模拟地区分开),说道这里需要注意,Multisim 里的仿真,电路必须有接地,没有接地将无法启动仿真。出现这个窗口一定选确认。然后就是连线了。点击元件的端点就可以引出导线,到另一个元件端点即可结束。选中开关,双击之,出现下面窗口:把空格改为“ R”另一个开关用同样的方法改成“ S”。 一个RS 触发器就弄好了,然后就可以开始仿真了。点击菜单栏上一个绿色的类似于播放键的三角形图标,开始仿真。 R=0, S=0, Q和Q都输出为1. 然后可以按下键盘上的R和S键,切换开关,观察其他状态。如同时按下RS切换至1,将 观察到不稳定输出,两灯闪烁。(截图是一个时刻,无法展现闪烁的动态效果) 然后就没有了。_________________________________________________________________________ 再来说说有的元件出来是芯片: 你会发现没有VCCGND这些管脚,因为它们都被隐藏了。只要你在电路中添加了VCCDGND 软件就会将这些隐藏管脚与之相连。 来做个显示译码器+数码管吧! 把元件添加好:7448N+七位共阴极数码管

仿真软件Multisim与PSpice在电路设计中的功能比较

仿真软件Multisim与PSpice在电路设计中的功能 比较 —— 作者:时间:2007-12-13来源:字号:小中大关键词:测试测量CAD电路分析Multisim操作系统 随着计算机技术的迅速发展,计算机辅助设计技术(CAD)已渗透到电子线路设计的各个领域,包括电路图生成、逻辑模拟、电路分析、优化设计、最坏情况分析、印刷板设计等。目前国际上比较流行两个仿真软件:Multisim (EWB的版本)和PSpice。通过对两个软件的认真学习和反复比较,发现二者存在很多差异,下面进行一一说明。 1 Muitisim与PSpice元器件的异同 Muhisim的元器件分为电源/信号源元器件、虚拟元器件和真实元器件3种,电源/信号源器件大多放在电源分类库中;虚拟元器件,其模型参数可以根据用户的需要进行设置,没有具体的封装,印刷电路板软件也没有相应的元器件库,在市场上没有相应的元器件出售;真实元器件具有精确的仿真模型和相应的封装,在印刷板电路设计软件中有相应的元器件库,且在市场上有相应的元器件出售,Multisim提供的元器件都能用于电路的仿真,并且有用于RF仿真的微波器件。 PSpice有4个虚拟元件IPRINT,IPLOT,VPRINT1,VPLOT1,其功能和Multisim中的虚拟仪表有点相似,但只是记录电路中某一点的电流或电压值。PS pice的基本元器件的属性都可以修改。他把元器件分为有仿真模型的和无仿真模型的,只有那些具有仿真模型的才能用于原理图的仿真,其他的就只能用于原理图的绘制。PSpice有一类特殊的元器件:模拟行为模型元器件,用此类元件可以去仿真一块尚未完成或是极复杂的子电路,用户可以自行定义或使用PSpice内已经建好的模拟行为模型元件,他运用描述电路特性的方式而不需要以真实电路来输入与仿真,可大幅精简仿真的时间及复杂度。图1是一个频域模拟行为的电路。对其中的各个模块进行设置后,就可以进行各种仿真。

相关文档
相关文档 最新文档