文档库 最新最全的文档下载
当前位置:文档库 › 光敏电阻传感器原理图

光敏电阻传感器原理图

60进制计数器设计

《数字电子技术基础》课程设计任务书 专业:16电气工程及其自动化 班级:专升本二班 学号:160732060 姓名:王冬 指导教师:耿素军 二零一六年十二月二十七日

目录 1、计数器的概述 (3) 2、六十进制计数器 (4) 2.1设计要求 (4) 2.2设计方案框架图 (4) 3、六十进制计数器设计描述 (5) 3.1设计的思路 (5) 3.2设计的实现 (7) 4、六十进制计数器的仿真设计与仿真的结果 (10) 4.1基本电路分析仿真设计 (11) 4.2 计数器电路的仿真的结果 (12) 5、心得体会 (13) 6、参考文献 (13)

1、计数器概述 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 在数字电子技术中应用的最多的时序逻辑电路。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。但是并无法显示计算结果,一般都是要通过外接LCD或LED屏才能显示。 计数器的种类 1.按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。 2.按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

光敏电阻传感器论文

传感器综合设计 实训(论文)说明书 题目:光敏电阻检测光照亮度 院(系):力学与光电物理学院 专业:应用物理学 姓名:江运 学号: 2014305066 指导教师:李洋 2012年6月20号

摘要 本设计题目是光敏电阻测量光照强度,用光照的强弱来改变光敏电阻的阻值大小,从而使输出电压值改变,通过测量输出电压值的大小就可以间接的测量光照的强度了。本设计有光照强度报警控制系统和光照强度等级显示电路以及光照亮度的显示。本设计采用了STC单片机为主控制电路,用数码管显示光照强度值,用绿、黄、红LED来显示光照亮度等级,用蜂鸣器来做报警电路。本设计电路各个部分电路的设计原理及功能都能实现,要求对各种基本的电子元器件,电阻、电容、二极管、三极管等熟悉,掌握Proteus 仿真软件的运用、Protel 99 SE 工具软件等绘图软件,并加以运用。用单片机内部的AD转换实现模拟转化为数字量,通过编程控制各个外围电路并实现其功能。本设计具有有线路简单、结构紧凑、价格低廉、性能优越等特点。 关键词:光照强度;光敏电阻;单片机

Abstracts This design is entitled to the photoresistor to measure light intensity, the strength of the light to change the photosensitive resistor size, so that the output voltage changes, by measuring the size of the output voltage value can be indirectly measuring the light intensity. The design of the light intensity alarm control system and the light intensity level display circuit and illumination brightness of the display. This design uses the STC microcontroller-based control circuit, digital display light intensity, light green, yellow, red LED display brightness levels, to do with buzzer alarm circuit. The design of the circuit design principles and functions of various parts of the circuit can achieve, requiring a variety of basic electronic components, resistors, capacitors, diodes, transistors and other familiar and master the use of Proteus simulation software Protel 99 SE software tools such as mapping software and use of them. AD of the internal microcontroller to convert analog into digital, programmed to control the various peripheral circuits and to achieve its function. The design of the circuit is simple, compact, low cost, superior performance characteristics. Key words:Light intensity; photosensitive resistance; MCU

光敏电阻传感器课程设计

课程设计 课程传感器课程设计 题目光敏电阻传感器应用电路设计院系电气信息工程学院 专业班级 学生姓名 学生学号 指导教师 2011年7 月22日

任务书 课程传感器课程设计 题目光敏电阻传感器应用电路设计 专业测控二102 姓名学号 主要内容: 设计一个光照强度自动检测系统,可分光照检测部分、信号处理部分、光强显示部分、报警部分。光照检测部分可利用光敏电阻传感器作为检测元件。输入信号处理后,就可以用来显示了。显示部分可利用发光二极管来显示,不同的光强对应于不同的发光二极管点亮,就能简单的显示出不同的光强了。 基本要求: 1、查阅资料,确定设计方案 2、选择器件,设计硬件电路,并画出原理图 3、编写采集程序 4、撰写课程设计说明书 主要参考资料: [1] 赵负图.国内外传感器手册[M].沈阳:辽宁科学技术出版社,1998. [2] 杨崇志.特殊新型电子元器件手册[M].沈阳:辽宁科学技术出版社,2003. [3] 丁镇生.传感器及传感技术应用[M].北京:电子工业出版社,2000. [4] 康华光,陈大钦.电子技术基础模拟部分[M].北京:高等教育出版社,1999. 2010年7 月12 日

摘要 光照强度自动检测显示系统,该系统可以自动检测光照强度的强弱并显示让人们知道此时光照强度的强弱。人们可以设定光照强度的范围,一旦超出此范围该系统可以发出警报通知或直接采取措施使光照强度在此范围内。人们可以通过看此装置的显示了解现在的光照状态,做合理的光照调节。该设计可分为三部分:即光照检测部分、信号处理部分、光强显示部分。还可加上报警部分。对于光照检测部分可利用光敏电阻传感器作为检测元件,它可以完成从光强到电阻值的信号转换,再把电阻值转换为电信号就可以作为系统的输入信号。对输入信号处理后,就可以用来显示了。对于显示部分可利用发光二极管来显示,不同的光强对应于不同的发光二极管点亮,就能简单的显示出不同的光强了。 关键词:光敏电阻;光电传感器;光照强度;发光二级管

光敏电阻传感器课程设计[精.选]

东北石油大学 课程设计 2011年7 月22日

任务书 课程传感器课程设计 题目光敏电阻传感器应用电路设计 专业测控二102 姓名学号 主要内容: 设计一个光照强度自动检测系统,可分光照检测部分、信号处理部分、光强显示部分、报警部分。光照检测部分可利用光敏电阻传感器作为检测元件。输入信号处理后,就可以用来显示了。显示部分可利用发光二极管来显示,不同的光强对应于不同的发光二极管点亮,就能简单的显示出不同的光强了。 基本要求: 1、查阅资料,确定设计方案 2、选择器件,设计硬件电路,并画出原理图 3、编写采集程序 4、撰写课程设计说明书 主要参考资料: [1] 赵负图.国内外传感器手册[M].沈阳:辽宁科学技术出版社,1998. [2] 杨崇志.特殊新型电子元器件手册[M].沈阳:辽宁科学技术出版社,2003. [3] 丁镇生.传感器及传感技术应用[M].北京:电子工业出版社,2000. [4] 康华光,陈大钦.电子技术基础模拟部分[M].北京:高等教育出版社,1999.完成期限2011.7.13—2011.7.22 指导教师邹彦艳赵志华 专业负责人曹广华 2010年7 月12 日

摘要 光照强度自动检测显示系统,该系统可以自动检测光照强度的强弱并显示让人们知道此时光照强度的强弱。人们可以设定光照强度的范围,一旦超出此范围该系统可以发出警报通知或直接采取措施使光照强度在此范围内。人们可以通过看此装置的显示了解现在的光照状态,做合理的光照调节。该设计可分为三部分:即光照检测部分、信号处理部分、光强显示部分。还可加上报警部分。对于光照检测部分可利用光敏电阻传感器作为检测元件,它可以完成从光强到电阻值的信号转换,再把电阻值转换为电信号就可以作为系统的输入信号。对输入信号处理后,就可以用来显示了。对于显示部分可利用发光二极管来显示,不同的光强对应于不同的发光二极管点亮,就能简单的显示出不同的光强了。 关键词:光敏电阻;光电传感器;光照强度;发光二级管

10进制和60进制计数器

十进制计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10IS PORT(CLK,RST,EN:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3DOWNTO 0); COUT:OUT STD_LOGIC); END CNT10; ARCHITECTURE behav OF CNT10IS BEGIN PROCESS(CLK,RST,EN) VARIABLE CQI: STD_LOGIC_VECTOR(3DOWNTO0); BEGIN IF RST='1'THEN CQI:=(OTHERS =>'0');--计数 ELSIF CLK'EVENT AND CLK='1'THEN IF EN='1'THEN IF CQI<9THEN CQI:=CQI+1; --允许计数, ELSE CQI:=(OTHERS=>'0'); --大于9, END IF; END IF; END IF; IF CQI=9THEN COUT<='1';--计数大于9,输出进位信号 ELSE COUT<='0'; END IF; CQ<=CQI;--将计数值向端口输出 END PROCESS; END behav;六十进制计数器源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60is port(clk,rst,en:in std_logic; cq:out std_logic_vector(7downto0); cout:out std_logic); end cnt60; architecture behav of cnt60is begin process(clk,rst,en) variable cqi:std_logic_vector(7downto0); begin if rst='1'then cqi:=(others=>'0'); elsif clk'event and clk='1'then if en='1'then if cqi<59then cqi:=cqi+1; else cqi:=(others=>'0'); end if; end if; end if; if cqi=59then cout<='1'; else cout<='0'; end if; cq<=cqi; end process; end behav;

数字电子实验——60进制计数器

综合性、设计性实验报告电子技术实验(数字电子部分) 报告分数: 学期: 班级: 姓名: 日期:

1. 实验目的 1)学习仿真软件Multisim的使用方法; 2)学习、掌握时序电路的设计方法; 3)掌握常用电子元器件的使用方法; 4)熟练运用用已有集成计数器(M进制)构成任意进制计数器(N进制),M < N 时,多片级联实现的方法; 5)熟悉由555定时器构成的多谐振荡器产生时钟脉冲; 6)了解反馈置数法和反馈清零法的特点及区别,并能熟练运用这两种方法。 2. 预习要求 1)阅读《数字电子技术基础》相关内容,了解集成计数器的原理及功能; 2)熟悉集成计数器74LS161及七段数码显示管的各引脚功能; 3)了解555定时器构成的多谐振荡器产生脉冲的基本原理; 4)对于反馈清零法和反馈置数法有基本的了解。 3. 实验内容 1)在Multisim集成环境中用74LS161和555定时器设计60进制计数器,要求能够实现暂停和置数的功能,并完成其仿真; 2)在模块化电子技术综合实验箱上完成电路搭接与调试; 4. 实验原理 4.1 个位模块 (1)利用反馈置数法,U2(74LS161D)为低位片即个位模块,用A、B、C、D四个输入端的高低电平实现个位预置数; (2)用开关控制U2的EP使能端高低电平实现暂停功能; (3)U2的CP脉冲端连接555定时器构成的多谐振荡器的矩形脉冲输出端;

(4)U2的使能端ET始终接有效的高电平,清零端CR始终接无效的高电平; 因为用的是反馈置数法,U2实现0(0000)~9(1001)的十进制循环,U2的QD和QA段用作二输入与非门U5A(74LS00D)的输入端,其输出端连接到U2的LD上。 (5)U2的四个输出端QD、QC、QB、QA连接U4数码管的D、C、B、A输入端,从而显示0~9这十个状态。 图1 个位模块原理图 4.2 十位模块 (1)利用反馈置数法,U1(74LS161D)为高位片即十位模块,用A、B、C 三个输入端的高低电平实现十位预置数; (2)U1的CP脉冲端连接555定时器构成的多谐振荡器的矩形脉冲输出端; (3)U1的使能端ET、EP始终接有效的高电平,清零端CR始终接无效的高电平; (4)因为用的是反馈置数法,U1实现0(0000)~5(0101)的六进制循环,U1的QC和QA端与个位数的QD和QA端用作四输入与非门U6A(74LS20D)的输入端,其输出端连接到U1的LD上。 (5)U1的四个输出端QD、QC、QB、QA连接U3数码管的D、C、B、A输入端,从而显示0~5这六个状态。

设计60进制计数器数电课程设计资料

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号: 电气工程学院 电自1418 刘科2014303010328

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

EDA 60进制计数器的设计

《EDA技术》课程实验报告 学生姓名:黄红玉 所在班级:电信1002 指导教师:高金定老师 记分及评价: 一、实验名称 实验2:60进制计数器的设计 二、任务及要求 【基本部分】4分 1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采 用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。 2、要求具备使能功能和异步清零功能。 3、设计完成后生成一个元件,以供更高层次的设计调用。 4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。 【发挥部分】1分 思考:采用反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。三、实验程序(原理图)

四、仿真及结果分析 设计60进制与设计24进制的步骤几乎一样。调用两片74160十进制计数器,采用反馈置数法,设计一个60进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步60进制,则个位接成1001,十位接成0101,再用一个五输入(一段接一个使能信号EN)的与非门同时接到两片74160计数器上的置数端LDN上。把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的60进制。然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。 五、硬件验证 1、选择模式:模式7 2、引脚锁定情况表: 六、小结 在这次试验中,通过指导老师起初的讲解以及阅读相关课本,我对QuartusII平台有了进一步的了解,初步知道整个设计过程。在设计过程中,许多问题的暴露使得我们不仅对数字电路原理有了更加深刻的了解,也使我们对QuartusII平台的使用规则有了更多的了解。掌握这门技术为今后更多的设计工作打下了基础,我受益匪浅。

光敏传感器

光敏传感器的光电特性 内容摘要 光敏传感器有很多种类,主要有:光敏电阻、光敏二极管,光敏三极管、 光电管、太阳能电池、红外线传感器、紫外线传感等。光敏传感器是利用光敏元件将光信号转换为电信号的传感器。它的敏感波长在可见光波长附近,包括红外线波长和紫外线波长。本实验主要是研究光敏电阻、硅光电池、光敏二极管、光敏三极管四种光敏传感器的基本特性,即伏安特性、光照特性等。 关键词:光敏电阻 硅光电池 光敏二极管 光敏三极管 【实验目的】 了解光敏电阻的基本特性,测出它的伏安特性曲线和光照特性曲线。 了解硅光电池的基本特性,测出它的伏安特性曲线和光照特性曲线。 了解光敏二极管的基本特性,测出它的伏安特性曲线和光照特性曲线。 了解光敏三极管的基本特性,测出它的伏安特性曲线和光照特性曲线。 【实验仪器】 全封闭光通路、实验电路、待测光敏传感器(光敏电阻、光敏二极管、光敏三极管、硅光电池)、实验连接线 【实验原理】 1、光电效应 光敏传感器的物理基础是光电效应,光电效应通常分为外光电效应和内光电效应两大类。在光辐射作用下电子逸出材料的表面,产生光电子发射称为外光电效应,或光电子发射效应。电子并不逸出材料表面的则是内光电效应,几乎大多数光电控制应用的传感器都是此类,通常有光敏电阻、光敏二极管、光敏三极管、硅光电池等。光电导效应、光生伏特效应是两种常见的内光电效应。 2、光敏传感器的基本特性 本实验主要是研究光敏电阻、硅光电池、光敏二极管、光敏三极管四种光敏传感器的基本特性。光敏传感器的基本特性则包括:伏安特性、光照特性等。其中光敏传感器在一定的入射照度下,光敏元件的电流I 与所加电压U 之间的关系称为光敏器件的伏安特性。改变照度则可以得到一族伏安特性曲线。光敏传感器的光谱灵敏度与入射光强之间的关系称为光照特性,有时光敏传感器的输出电压或电流与入射光强之间的关系也称为光照特性。 (1)光敏电阻 利用具有光电导效应的半导体材料制成的光敏传感器称为光敏电阻。 当内光电效应发生时,光敏电阻电导率的改变量为: p n p e n e σμμ?=???+??? (1) 在(1)式中,p ?为空穴浓度的改变量,n ?为电子浓度的改变量,μ表示迁移率。 当两端加上电压U 后,光电流为:

EDA60进制计数器设计

《EDA技术》课程实验报告 学生姓名: 所在班级: 指导教师: 记分及评价: 报告满分3分 得分 一、实验名称 实验6:60进制计数器设计 二、任务及要求 【基本部分】 1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。 2、设计完成后生成一个元件,以供更高层次的设计调用。 3、实验箱上进行验证。 【发挥部分】 在60进制基础上设计6进制计数器,完成时序仿真。 三、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sixth is port(clk:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0);--shi wei ql:buffer std_logic_vector(3 downto 0));--ge wei end entity sixth; architecture art of sixth is begin co<='1'when(qh="0101"and ql="1001")else'0'; process(clk) begin if(clk='1')then if(ql=9)then ql<="0000"; if(qh=5)then

qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process; end architecture art; 四、仿真及结果分析 图6-1 60进制计数器仿真图 用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。档en=1时,计数器正常计数;当clr=1时,计数器清零。最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。 五、硬件验证 1、选择模式: 2、引脚锁定情况表: 六、小结 1、六进制程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity six is port(clk,en,clr:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0));--shi wei end entity six; architecture art of six is begin co<='1'when(qh="0101" and en='1')else'0';

光敏传感器的原理及其应用

光敏传感器的原理及其应用的探讨(大学物理拓展与应用结课论文) 专业: 班级: 姓名: 学号: 出题教师: 学院: ^^^^^^^^^^^^ 撰写日期:

摘要: 众所周知,如今在人类的生产、生活中,传感器已得到了广泛的应用,尤其对于高精密的产品要借助各种传感器来监视和控制生产过程中的各个参数,使设备工作在正常状态或最佳状态,并使产品达到最好的质量。因此可以说,没有众多的优良的传感器,现代化生产也就失去了基础。在医学中,借助传感器能够更好分析病因,得到一个好的治疗方案。在科研究中,传感器更具有突出的地位,许多领域人的感官还有简易的传感器根本无法得到精确的数据,必须借助高精密的传感器来实现分析测量,而光敏传感器是利用光敏元件将光信号转换为电信号的传感器,因其具有非接触、响应快、性能可靠等特点,故而在自动控制和非电量电子技术中占有非常重要的地位。 关键词:光敏传感器;工作原理;应用. 一、传感器的组成 (1)敏感元件:它能直接感受被测非电量,并按一定规律将其转换成与被测非电量有确定对应关系的其他物理量。 (2)转换器件(又称变换器、传感器件):将敏感元件输出的非电物理量(如光强等)转换成电路参量。 (3)信号调节(转换)电路:将转换器件输出的电信号进行放大、运算、处理等,以获得便于显示、记录、处理和控制的有用电信号。 (4)辅助电源:它的作用是提供能源。有的传感器需要外部电源供电;有的传感器则不需要外部电源供电,如压电传感器。

二、光敏传感器的工作原理 光敏传感器装有一个高精度的光电管,光电管有一块由”针式二管”组成的小平板,当向光电管两端施加一个反向的固定压时,任何光了对它的冲击都将导致其释放出电子,结果是,当光照强度越高,光电管的电流也就越大,电流通过一个电阻时,电阻两端的电压被转换成可被采集器的数模转换器接受的0-5V电压,然后采集以适当的形式把结果保存下来.简单的说,光敏传感器就是利用光敏电阻受光线强度影响而阻值发生变化的原理向机器人主机发送光线强度的模拟信号。 光敏电阻器又叫光感电阻,其工作原理是基于光电效应。 光敏电阻是利用半导体的光电效应制成的一种电阻值随入射光的强弱而改变的电阻器;入射光强,电阻减小,入射光弱,电阻增大。光敏电阻器一般用于光的测量、光的控制和光电转换(将光的变化转换为电的变化)。 ⑴光敏电阻 原理:它是基于半导体光电效应工作的。光敏电阻无极性,纯粹是一个电阻元件。使用时可以加直流电压,也可以加交流电压。光敏电阻的工作原理:光

设计60进制计数器--电子技术基础课程设计

X X 大学 电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院: 班级: 姓名: 学号:

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

光电二极管传感器模块光敏二极管传感器模块亮度传感器光敏传感器

光电二极管传感器模块光敏二极管传感器模块亮度传感器光敏传感器 一原理图 二模块描述 1 可以检测周围环境的亮度和光强(与光敏电阻比较,方向性比较好,可以感知固定方向的光源) 2 灵敏度可调(图中蓝色数字电位器调节) 3 工作电压3.3V-5V 5 输出形式 a 模拟量电压输出 b 数字开关量输出(0和1) 6 设有固定螺栓孔,方便安装 7 小板PCB尺寸:3cm * 1.6cm 三模块接口说明(4线制) 1 VCC 外接3.3V-5V电压(可以直接与5v单片机和3.3v单片机相连) 2 GND 外接GND

3 DO 小板数字量输出接口(0和1) 4 AO 小板模拟量输出接口 四使用说明 1 光敏二极管模块对环境光强最敏感,一般用来检测周围环境的亮度和光强,在大多数场合可以与光敏电阻传感器模块通用,二者区别在于,光敏二极管模块方向性较好,可以感知固定方向的光源。 2 模块在无光条件或者光强达不到设定阈值时,DO口输出高电平,当外界环境光强超过设定阈值时,模块D0输出低电平; 3 小板数字量输出D0可以与单片机直接相连,通过单片机来检测高低电平,由此来检测环境的光强改变; 4 小板数字量输出DO可以直接驱动本店继电器模块,由此可以组成一个光电开关; 5 小板模拟量输出AO可以和本店AD模块相连,通过AD转换,可以获得环境光强更精准的数值; 五测试说明 使用本店51开发板与光敏二极管模块测试 接线说明: 模块VCC 接开发板VCC 模块GND接开发板 GND 接好VCC和GND,模块电源指示灯会亮 将模块放置有光源处,调节板上蓝色电位器,直到板上开关指示灯亮,然后用手遮住光敏二极管,模块开关指示灯将会灭。将手拿开,开关指示灯再会到点亮状态。这个现象就是说明,光源可以触发模块,从而使开关指示灯点亮。 另外资料里面附带一个51程序,用来检测光敏二极管模块数字接口D0的高低电平 具体说明如下: 接线: 模块VCC 接开发板VCC 模块GND接开发板 GND 模块D0接开发板P0.1 单片机:STC89C52 串口发送数据波特率:9600 现象:模块有光源触发,串口不断发送01,同时开关指示灯亮; 模块无光源触发,串口不发送数据,同时开关指示灯灭。

光敏电阻器的特性和应用概要

光敏电阻器的特性和应用 站长2006-4-2 15:05:30 光敏电阻是采用半导体材料制作,利用内光电效应工作的光电元件。 它在光线的作用下其阻值往往变小,这种现象称为光导效应,因此,光 敏电阻又称光导管。 用于制造光敏电阻的材料主要是金属的硫化物、硒化物和碲化物等 半导体。通常采用涂敷、喷涂、烧结等方法在绝缘衬底上制作很薄的光 敏电阻体及梳状欧姆电极,然后接出引线,封装在具有透光镜的密封壳 体内,以免受潮影响其灵敏度。光敏电阻的原理结构如图所示。在黑暗环境里,它的电阻值很高,当受到光照时,只要光子能量大于半导体材料的禁带宽度,则价带中的电子吸收一个光子的能量后可跃迁到导带,并在价带中产生一个带正电荷的空穴,这种由光照产生的电子—空穴对增加了半导体材料中载流子的数目,使其电阻率变小,从而造成光敏电阻阻值下降。光照愈强,阻值愈低。入射光消失后,由光子激发产生的电子—空穴对将逐渐复合,光敏电阻的阻值也就逐渐恢复原值。 在光敏电阻两端的金属电极之间加上电压,其中便有电流通过,受到适当波长的光线照射时,电流就会随光强的增加而变大,从而实现光电转换。光敏电阻没有极性,纯粹是一个电阻器件,使用时既可加直流电压,也可以加交流电压。 基本特性及其主要参数 1、暗电阻、亮电阻 光敏电阻在室温和全暗条件下测得的稳定电阻值称为暗电阻,或暗阻。此时流过的电流称为暗电流。例如MG41-21型光敏电阻暗阻大于等于0.1M。 光敏电阻在室温和一定光照条件下测得的稳定电阻值称为亮电阻或亮阻。此时流过的电流称为亮电流。MG41-21型光敏电阻亮阻小于等于1k。 亮电流与暗电流之差称为光电流。 显然,光敏电阻的暗阻越大越好,而亮阻越小越好,也就是说暗电流要小,亮电流要大,这样光敏电阻的灵敏度就高。 2、伏安特性 在一定照度下,光敏电阻两端所加的电压与流过光敏电阻的电流之间的关系,称为伏安特性。 由图2.6.2可知,光敏电阻伏安特性近似直线,而且没有饱和现象。受耗散功率的限制,在使用时,光敏电阻两端的电压不能超过最高工作电压,图中虚线为允许功耗曲线,由此可确定光敏电阻正常工作电压。 图2.6.2 光敏电阻的伏安特性图2.6.3 光敏电阻的光电特性图 2.6.4 光敏电阻的光谱特性 3、光电特性 光敏电阻的光电流与光照度之间的关系称为光电特性。如图2.6.3所示,光敏电阻的光电特性呈非线性。因此不适宜做检测元件,这是光敏电阻的缺点之一,在自动控制中它常用做开关式光电传感器。 4、光谱特性 对于不同波长的入射光,光敏电阻的相对灵敏度是不相同的。各种材料的光谱特性如图2.6.4所示。从图中看出,硫化镉的峰值在可见光区域,而硫化铅的峰值在红外区域,因此在选用光敏电阻时应当把元件和光源的种类结合起来考虑,才能获得满意的结果。 5、频率特性 当光敏电阻受到脉冲光照时,光电流要经过一段时间才能达到稳态值,光照突然消失时,光电流也不立刻为零。这说明光敏

光敏电阻传感器论文

传感器综合设计 实训(论文)说明书题目:光敏电阻检测光照亮度 院(系):力学与光电物理学院 专业:应用物理学 姓名:江运 学号: 指导教师:李洋 2012年6月20号

摘要 本设计题目是光敏电阻测量光照强度,用光照的强弱来改变光敏电阻的阻值大小,从而使输出电压值改变,通过测量输出电压值的大小就可以间接的测量光照的强度了。本设计有光照强度报警控制系统和光照强度等级显示电路以及光照亮度的显示。本设计采用了STC单片机为主控制电路,用数码管显示光照强度值,用绿、黄、红LED来显示光照亮度等级,用蜂鸣器来做报警电路。本设计电路各个部分电路的设计原理及功能都能实现,要求对各种基本的电子元器件,电阻、电容、二极管、三极管等熟悉,掌握Proteus 仿真软件的运用、Protel 99 SE工具软件等绘图软件,并加以运用。用单片机内部的AD转换实现模拟转化为数字量,通过编程控制各个外围电路并实现其功能。本设计具有有线路简单、结构紧凑、价格低廉、性能优越等特点。 关键词:光照强度;光敏电阻;单片机

Abstracts This design is entitled to the photoresistor to measure light intensity, the strength of the light to change the photosensitive resistor size, so that the output voltage changes, by measuring the size of the output voltage value can be indirectly measuring the light intensity. The design of the light intensity alarm control system and the light intensity level display circuit and illumination brightness of the display. This design uses the STC microcontroller-based control circuit, digital display light intensity, light green, yellow, red LED display brightness levels, to do with buzzer alarm circuit. The design of the circuit design principles and functions of various parts of the circuit can achieve, requiring a variety of basic electronic components, resistors, capacitors, diodes, transistors and other familiar and master the use of Proteus simulation software Protel 99 SE software tools such as mapping software and use of them. AD of the internal microcontroller to convert analog into digital, programmed to control the various peripheral circuits and to achieve its function. The design of the circuit is simple, compact, low cost, superior performance characteristics. Key words:Light intensity; photosensitive resistance; MCU 目录 引言 ................................................................ 1.系统概述 .......................................................... 1.1 设计要求......................................................... 1.2方案设计......................................................... 1.2.1方案说明....................................................... 1.2.2方案论证....................................................... 2.硬件电路设计与分析 ................................................ 2.1单片机最小系统................................................... 2.2光敏电阻的主要特性及参数......................................... 2.3光敏电阻接入电路图............................................... 2.4数码管显示电路................................................... 2.5报警电路......................................................... 3.软件设计 .......................................................... 3.1主程序........................................................... 3.2安装调试及测量数据分析........................................... 3.3出现的问题与解决的方法........................................... 4.实训的心得体会 .................................................... 致谢 ................................................................ 参考文献 ............................................................ 附录 ................................................................

60进制计数器

题目60计数器 60进制计数器 主要内容: 利用QuartusII设计一个六十进制计数器。该电路是采用整体置数法接成的六十进制计数器。首先需要两片74160接成一百进制的计数器,然后将电路的60状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,从而得到六十进制计数器。主要要求如下: (1)每隔1个周期脉冲,计数器增1; (2)当计数器递增到60时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数; (3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。

1方案选择与电路原理图的设计 使用具有一定频率的时钟信号作为计数器的时钟脉冲作为同步控制信号,整体电路通过两片74160与其他门电路辅助等单元电路构成以实现置数进位功能。图2.1为六十进制计数器的总体电路原理框图。 图1.1 电路原理框图 1.1单元电路一:十进制计数器电路(个位) 本电路采用74160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。每输入10个计数脉冲,计数器便工作一个循环,并且在进位端RCO产生一个进位输出信号。其功能表如表2-1所示,连接方式如图2.2所示。此片工作时进位端RCO在没有进位时RCO=0,因此第二片ENP·ENT=0,第二片不工作。 表2-1 同步十进制计数器功能表 在新建好的block文件的图形编辑窗口中双击鼠标,或点击图中“符号工具”按钮,或者选择菜单Edit下的Insert Symbol命令,即可对元件进行选择。选择元件库中的ot hers—maxplus2—74160。点击工具栏中Orthogonal Node Tool按钮便可以对端子间进行连线,其中值得注意的是,点击工具栏中Orthogonal Bus Tool按钮可以通过总线进行连接。

相关文档