文档库 最新最全的文档下载
当前位置:文档库 › 触发器练习题

触发器练习题

触发器练习题
触发器练习题

一、判断题

1、用逻辑门构成的各种触发器均属于电平异步时序逻辑电路()

2、RS、JK、D和T四种触发器中,唯有RS触发器存在输入信号的约束条件()

3、与非门的输入端加有低电平时,其输出端恒为高电平。()

4、数字电路可以分为组合逻辑电路和时序逻辑电路两大类。()

5、时序逻辑电路中存在反馈,其输出不仅取决于当时的输入,还与电路的上一个状态有关。()

6、组合逻辑电路的输出只与当时的输入有关,与电路的上一个状态无关,没有记忆功能。()

7、触发器是时序逻辑电路的基本单元。()

8、时序逻辑电路由组合逻辑电路和存储电路构成。()

9、触发器的反转条件是由触发输入与时钟脉冲共同决定的。()

10、组合逻辑电路任何时刻的输出不仅与该时刻的输入状态有关,还与先前的输出状态有关。()

11、译码器、比较器属于组合逻辑电路。

12、数字电路可分为组合逻辑电路和时序逻辑电路。

13、全加器是实现两个1位二进制数相加并考虑低位进位的逻辑电路。

14、实现同一逻辑功能的逻辑电路可以不同

15、译码是编码的逆过程。

16、寻找组合逻辑电路输入输出关系表达式的过程和方法,是组合逻辑电路的设计过程.

17、公式化简法有时不容易判断结果是否最简.

18、实现同一逻辑功能的电路是唯一的.

19、加法器可以有并行进位加法器.

20、七段显示译码器有共阳极和共阴极显示器两种接法.

21、一个班级有80个学生,现采用二进制编码器对每位学生进行编码,则编码器输出至少5位二进制数才能满足要求

22、高电平有效的显示译码器可驱动共阴极接法的数码管

23、低电平有效的显示译码器可驱动共阳极接法的数码管

24、高电平有效的显示译码器可驱动共阳极接法的数码管

25、低电平有效的显示译码器可驱动共阴极接法的数码管

26、同一CP控制各触发器的计数器称为异步计数器()

27、各触发器的信号来源不同的计数器称为同步计数器()

28、1个触发器可以存放2个二进制数()

29、D触发器只有时钟脉冲上升沿有效的品种。

30、同步RS触发器用在开关去抖中得到应用。

31、不同触发器间的逻辑功能是可以相互转换的。

32、对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次。()

33、JK触发器只要J,K端同时为1,则一定引起状态翻转。()

34、将D触发器的Q端与D端连接就可构成T’触发器。()

35、JK触发器在CP作用下,若J=K=1,其状态保持不变。()

36、JK触发器在CP作用下,若J=K=1,其状态变反。()

37、使D

K

=,就可实现JK触发器到D触发器的功能转换。()

J=

38、JK触发器在CP作用下,若J=K=0,其状态保持不变。()

39JK触发器在CP作用下,若J=K=0,则触发器置0(即复位)。()

40、D触发器的特性方程为Qn+1=D,与Qn无关,所以它没有记忆功能。()

41、RS触发器的约束条件RS=0表示不允许出现R=S=1的输入。()

42、同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。()

43、所谓上升沿触发,是指触发器的输出状态变化是发生在CP=1期间。()

44、边沿触发型D触发器的输出状态取决于CP=1期间输入D的状态。()

二、单项选择题

1、当同步RS触发器的CP=0时,若输入由“0”→“1”且随后由“1”→“0”,则触发器的状态变化为()。

A、“0”→“1”

B、“1”→“0”

C、不变

D、不定

2、b。触发器是由逻辑门电路组成,所以它的功能特点是()

A、和逻辑门电路功能相同

B、它有记忆功能

C、没有记忆功能

D、全部是由门电路组成的

3、c。下列触发器中,不能用于移位寄存器的是()

A、D触发器

B、JK触发器

C、基本RS触发器

D、T触发器

4、下列()不属于时序逻辑电路的范畴。

A、译码器

B、计数器

C、寄存器

D、移位寄存器

5、时序逻辑电路的状态一般由其()的组合确定

A、外部输入

B、外部输出

C、内部输入

D、内部输出

6、下列几种触发器中,哪种触发器的逻辑功能最灵活()

A、D型

B、JK型

C、T型

D、RS型

7、由与非门组成的RS触发器不允许输入的变量组合RS为();

A、00

B、01

C、11

D、10

8、同步时序逻辑电路和异步时序逻辑电路的区别在于异步时序电路()

A、没有触发器

B、没有统一的时钟脉冲控制

C、没有稳定状态

D、输出只与内部状态有关

9、要使JK触发器的状态和当前状态相反,所加激励信号J和K应该是()

A、00

B、01

C、10

D、11

10、激励信号有约束条件的触发器是()

A、RS触发器

B、D触发器

C、JK触发器

D、T触发器

11、双向移位寄存器的功能是()

A、只能将数码左移

B、只能将数码右移

C、既可以左移,又可以右移

D、不能确定

12、构成计数器的基本单元电路是()

A、或非门

B、与非门

C、同或门

D、触发器

13、下列哪种方程不是描述时序逻辑电路的()

A、驱动方程

B、输出方程

C、状态方程

D、逻辑函数式方程

14、对于同步触发的D型触发器,要使输出为1,则输入信号D满足()

A、D=1

B、D=0

C、不确定

D、D=0或D=1

15、要使JK触发器的状态由0转为1,所加激励信号JK应为()

A、0×

B、1×

C、×1

D、×0

16、对于D触发器,若CP脉冲到来前所加的激励信号D=1,可以使触发器的状态()

A、由0变0

B、由×变0

C、由1变0

D、由×变1

17、使同步RS触发器置0的条件是[]

A、RS=00

B、RS=01

C、RS=10

D、RS=11

18、若基本触发器的初始输入为R反为1,S反为=0,当R反由“0”→“1”且同时S反由“1”→“0”时,触发器的状态变化为()。

A、“0”→“1”

B、“1”→“0”

C、不变

D、不定

19、要使JK触发器的状态由0转为1,所加激励信号JK应为[]

A、0X

B、1X

C、X1

D、X0

20、移位寄存器不能实现的功能为()

A、存储代码

B、移位

C、数据的串行,并行转换

D、计数

21、D触发器的R端为()

A、置0端

B、置1端

C、保持端

D、反转端

22、对于T触发器,当T=()时,触发器处于保持状态。

A、0B、1 C、0,1均可D、以上都不对

23、对于JK触发器,若J=K,则可完成()触发器的逻辑功能。A、RSB、DC、TD、Tˊ

24、要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()

A、JK=00

B、JK=01

C、JK=10

D、JK=11

25、当J=0,K=0时,钟控JK触发器的次态输出为()。

A、现态不变

B、1

C、现态取反

D、0

26、组成一个模为60的计数器,至少需要()个触发器。

A、6

B、7

C、8

D、9

27、基本RS触发器在触发脉冲消失后,输出状态将()

A、随之消失

B、发生翻转

C、恢复原态

D、保持现态

28、当两个输入端均为1时,输出Q不定的是()

A、基本RS触发器

B、钟控同步RS触发器

C、主从JK触发器

D、D触发器

29、满足特征方程

n

n Q

Q=

+1

的触发器称为()。A、D触发器B、JK触发器C、T触发器D、T’触发器

30、为了使触发器克服空翻与振荡,应采用()。

A、CP高电平触发

B、CP低电平触发

C、CP低电位触发

D、CP边沿触发

31、如果J=K=1,每次出现时钟脉冲时,JK触发器都要()A、置1B、置0 C、保持D、翻转

32、欲使JK触发器按n

n Q

Q=

+1工作,可使JK触发器的输入端()。

A 、J=K=0

B 、J=Q ,Q K =

C 、Q J =,K=Q

D 、J=K=1

33、欲使JK 触发器按n 1n Q Q =+工作,可使JK 触发器的输入端()。

A 、J=K=0

B 、J=Q ,Q K =

C 、Q J =,K=Q

D 、J=Q ,K=0

34、一个T 触发器,在T=1时,加上时钟脉冲,则触发器()。A 、保持原态B 、置0 C 、置1D 、翻转

35、同步RS 触发器不允许输入的变量组合RS 为()A 、00B 、01 C 、10D 、11

36、T 触发器的特征方程为()

A 、n n 1n Q T TQ Q +=+

B 、n 1n Q T Q =+

C 、n

n 1n Q T Q T Q +=+D 、n

1n Q T Q =+

37、将

D 触发器转换成T 触发器,则应令() A 、Q D T ⊕=B 、Q T D ⊕=C 、Q T D ⊕=D 、Q D T ⊕=

38、对于D 触发器,欲使Qn+1=Qn ,应使输入D=()。A 、0B 、1C 、QD 、Q

39、欲使D 触发器按Qn+1=

n Q 工作,应使输入D=()。A 、0B 、1C 、QD 、Q 40、为实现将JK 触发器转换为D 触发器,应使()。

A 、J=D ,K=D

B 、K=D ,J=D

C 、J=K=D

D 、J=K=D

41、将D 触发器改造成T 触发器,图示电路中的虚线框内应是()。

A.或非门

B.与非门

C.异或门

D.同或门

42、对于T 触发器,若现态Qn=0,欲使次态Qn+1=1,应使输入T=()。

A 、0

B 、1

C 、Q

D 、Q

43、。对于T 触发器,若现态Qn=1,欲使次态Qn+1=1,应使输入T=()。

A 、0

B 、1

C 、Q

D 、Q

44、欲使JK 触发器按Qn+1=Qn 工作,可使JK 触发器的输入端()。

A 、J=K=0

B 、J=Q,K=Q

C 、J=0,K=Q

D 、J=Q,K=0

45、欲使JK 触发器按Qn+1=Q n 工作,可使JK 触发器的输入端()。

A 、J=K=1

B 、J=1,K=Q

C 、J=Q ,K=Q

D 、J=Q,K=1

46、欲使JK 触发器按Qn+1=0工作,可使

JK 触发器的输入端()。 A 、J=K=1B 、J=Q,K=QC 、J=Q,K=1D 、J=0,K=1

47、欲使JK 触发器按Qn+1=1工作,可使JK 触发器的输入端()。

A 、J=K=1

B 、J=1,K=0

C 、J=K=Q

D 、J=Q ,K=0

触发器实验 3

实验(一):MS SQL Server的使用和管理 一、实验目的 ①掌握T-SQL语言中几个常用流程控制语句的使用。 ②通过对常用系统存储过程的使用,了解存储过程的类型。 ③通过创建和执行存储过程,了解存储过程的基本概念,掌握使用存储过程的操作技巧和方法。 二、实验内容 ①用两种方法(if…else语句与while、break和continue语句)实现1+2+3……+100值的计算。 ②活期存款中,“储户”通过‘存取款单’和“存储所”发生关系。假定储户包括:账号,姓名,电话,地址,存款额;“储蓄所”包括:储蓄所编号,名称,电话,地址。假定一个储户可以在不同的储蓄所存取款,试完成以下设计: 1.创建一个数据库名为SA VE,按要求在SA VE数据库中创建以上的那三个表“储户”、“存取款单”、“储蓄所”。在三张表中自己选择应该在哪些列创建主键外键约束。 2.为“存取款单”表创建一个CHECK约束,使存取标志列的值要么是1要么是0。3.创建一个触发器TR1完成下面的内容: 当向“存取名单”表中插入数据时,如果存取标志=1则应该更正储户表让存款额加上存取金额,如果存取标志=0则应该更正储户表让存款额减去存取金额,如果余额不足则显示余额不足错误。 4.创建一个视图显示用户账号、用户姓名、存款额和所在银行。 5.创建存储过程INPUT_PROC,OUT_PROC分别用于存款和取款,即向存取款单中插入数据。 三、实验步骤 1.启动sql查询分析器,运行相关sql脚本。 2.脚本文件如下: 创建一个数据库名为SA VE: CREATE DATABASE[SA VE] ON PRIMARY (NAME=lfm1_dat, FILLENAME='c:\SAVE.DA TA.mdf', SIZE=10, MAXSIZE=50, FILEGROWTH=15%) LOG ON (NAME='lfm_log', FILENAME='c:\SAVELOG.ldf', SIZE=5MB, MAXSIZE=25MB, FILEGROWTH=5MB) 创建以上的那三个表“储户”、“存取款单”、“储蓄所”,在三张表中自己选择应该在哪些列创建主键外键约束。 CREATE TABLE 储户 (账号INT PRIMARY KEY,姓名CHAR(10),电话INT,地址CHAR(10),存款额MONEY)

实验六 触发器实验报告

实验五 触发器实验报告 [实验目的] 1. 理解Oracle 触发器的种类和用途 2. 掌握行级触发器的编写 [预备知识] 1. PL/SQL 程序设计 [实验原理] 1. 建立触发器 CREA TE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDA TE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表 触发器事件指定了影响表的 SQL DML 语句 ( INSERT 、 DELETE 或 UPDA TE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发 默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器) 要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREA TE 语句以自动删除和重建触发器 2. 行级触发器中引用表数据 在行级触发器中,使用伪记录来表示旧数据:old 和新数据:new 引用示例::new.customer_name, :old.customer_name 3. 行级触发器中的谓词 在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:触发事件 :old :new Insert 无定义,所有字段都是NULL 该语句完成后插入的值 Update 更新前该行的旧值 更新后该行的值 Delete 删除前该行的值 无定义,所有字段

第五章 触发器Flip-Flop

第五章触发器Flip-Flop 1、触发器的定义和分类 2、常用的触发器 3、触发器的分析

触发器(Flip-Flop):能够存储一位二进制数字信号的基本单元电路叫做触发器。(P179引言部分) 特点:具有“记忆”功能。 分析下面的电路:当A=0时,F=0 某一时刻,由于外界的干扰使得A信号 突然消失,此时,相当于A输入端悬空 由电路结构得:F=1。 干扰发生前后,F的输出值发生的变化,故该电路没有“记忆”功能

再看下面的电路: 当A=0时,F=0。 某一时刻,由于外界的干扰使得A信号突然消失,此时,相当于A输入端悬空,但F端反馈回来的值仍然为0,由电路结构得:F=0。 说明该电路具有“记忆”功能。 其根本原因在于,该电路带有反馈。

触发器的分类:P179 ①按稳定工作状态分: 双稳态、单稳态和无稳态(多谐振荡器)触发器。本章仅讨论双稳态触发器。 ②按结构分: 主从结构和维持阻塞型(边沿结构)触发器。 本章仅讨论边沿触发器。 ③按逻辑功能分: RS、JK、D、T和T’触发器。 本章重点讨论后四种。

常用触发器 1、基本RS触发器 ①电路组成和逻辑符号 基本RS触发器有两种:由与非门构成的和由或非门构成的。 我们以前者为例: 输出端在正常情形下应是完全相反的两种逻辑状态,即两个稳态。当Q=0时,称为“0态”;当Q=1时,称为“1态”。

②逻辑功能分析: A)当R=S=0时) (即1 = =S R 1 1Q Q Q Q= = ?1 可以保证门1的 输出值不变。Q Q Q= ?1 可以保证门2的 输出值不变。 此时,门1和2的输出值均保持不变,称为:触发器的保持功能。

利用D触发器构成计数器

数字电路实验设计: D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下: 说明:74LS74是上升沿触发的双D触发器, D触发器的特性方程为 二、设计方案: 用触发器组成计数器。触发器具有0 和1两种状态,因此用一个触发器就可以表示一位二进制数。如果把n个触发器串起来,就可以表示n位二进制数。对于十进制计数器,它的10 个数码要求有 10 个状态,要用4位二进制数来构成。下图是由D触发器组成的4位异步二进制加法计数器。

三、实验台: 四、布线: 1、将芯片(1)的引脚4、10连到一起, 2、将芯片(2)的引脚4、10连到一起, 3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起, 4、将芯片(1)的引脚10连到+5V; 5、将芯片(1)的引脚1、13连到一起, 6、将芯片(2)的引脚1、13连到一起, 7、将芯片(1)的引脚13和芯片(2)的引脚13连到一起,

8、将芯片(1)的引脚13连到+5V; 9、将芯片(1)的引脚3接到时钟信号CP 10、将芯片(1)的引脚2、6接到一起,再将引脚2接到引脚11 11、将芯片(1)的引脚8、12接到一起,再将芯片(1)的引脚8接到芯片(2)的引脚3 12、将芯片(2)的引脚2、6接到一起,再将引脚6接到引脚11 13、将芯片(1)的引脚5、9分别接到Q0、Q1,再将芯片(2)的引脚5、9分别接到Q2、Q3 14、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。 五、验证: 接通电源on,默认输出原始状态0000 每输入一个CP信号(单击CP),的状态就会相应的变化,变化规律为0000(原始状态)、1000、0100、1100、0010、1010、0110、1110、0001、1001、0101、1101、0011、1011、0111、1111 (学习的目的是增长知识,提高能力,相信一分耕耘一分收获,努力就一定可以获得应有的回报)

实验六 触发器实验报告

实验六触发器实验报告 触发器实验报告 [实验目的]1、理解Oracle触发器的种类和用途2、掌握行级触发器的编写 [预备知识]1、 PL/SQL程序设计 [实验原理]1、建立触发器 CREATE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDATE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表触发器事件指定了影响表的 SQL DML 语句 ( INSERT、 DELETE 或 UPDATE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器)要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREATE 语句以自动删除和重建触发器2、行级触发器中引用表数据在行级触发器中,使用伪记录来表示旧数据:old和新数据:new 触发事件 :old :new Insert 无定义,所有字段都是NULL该语句完成后插入的值 Update 更新前该行的旧值更新后该行的值 Delete 删除前该行的值无定

义,所有字段都是NULL引用示例::new、 customer_name, :old、customer_name3、行级触发器中的谓词在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:inserting,updating,deleting。 示例: IF Inserting THEN 语句 ; END IF; IF Updating THEN 语句 ; END IF; IF Deleting THEN 语句 ; END IF;4、触发器的限制 SELECT 语句必须是 SELECT INTO 语句或内部游标声明。 行级触发器不可以对触发表进行查询,包括其调用的子过程中。 不允许 DDL 声明和事务控制语句。 如果由触发器调用存储子过程,则存储子程序不能包括事务控制语句。 :old 和 :new 值的类型不能是 LONG 和 LONG RAW。 [实验内容]1、给Customer表增加一列Savings,类型为int,来存放每个顾客的存款总额。A LTER TABLE customer ADD (saving varchar2(30));select * from customer;2、更新Customer表,使得Savings字段的值正确。 3、在Account表上增加一个行级触发器,当对account的balance进行update和insert一个记录时同步修改Customer的Savings字段,保证数据的一致性。

触发器、计数器及其应用实验

195 实验18 触发器、计数器及其应用 一、实验目的 1. 掌握集成J-K 触发器和D 触发器的逻辑功能,学习用触发器组成计数器。 2. 掌握集成计数器74LS290的逻辑功能和使用方法。 3. 学习中规模集成显示译码器和数码显示器配套使用的方法。 二、实验原理 1.触发器 常见的集成触发器有D 触发器和JK 触发器,根据电路结构,触发器受时钟脉冲触发的方式有维持阻塞型和主从型。维持阻塞型又称边沿触发方式,触发状态的转换发生在时钟脉冲的上升或下降沿。而主从型触发方式状态的转换分两个阶段,在CP=1期间完成数据存入,在CP 从1变为0时完成状态转换。 ① JK 触发器:在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK 触发器,是下降边沿触发的边沿触发器。引脚如图4.18.1所示。 U CC 1R D 2R D 2CP 2K 2J 2S D 2Q ________1CP 1K 1J 1S D 1Q 1Q 2Q GND ________123456789 10 11 12 13 14 15 16 74LS112 图4.18.1 74LS112双JK 触发器外引线排列 JK 触发器的状态方程为:n n n Q K Q J Q +=+1 J 和K 是数据输入端,是触发器状态更新的依据,若J 、K 有两个或两个以上输入端时,组成“与”的关系。后沿触发JK 触发器的功能如表4.18.1所示。 JK 触发器常被用作缓冲存储器,移位寄存器和计数器。 表4.18.1 74LS112双JK 触发器逻辑功能表 ② D 触发器:在输入信号为单端的情况下,常使用D 触发器。其输出状态的更新发生在

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

利用D触发器构成计数器

利用D触发器构成计数 器 TYYGROUP system office room 【TYYUA16H-TYY-TYYYUA8Q8-

数字电路实验设计: D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下: 说明:74LS74是上升沿触发的双D触发器, D触发器的特性方程为 二、设计方案: 用触发器组成计数器。触发器具有0 和1两种状态,因此用一个触发器就可以表示一位二进制数。如果把n个触发器串起来,就可以表示n位二进制数。对于十进制计数器,它的10 个数码要求有 10 个状态,要用4位二进制数来构成。下图是由D触发器组成的4位异步二进制加法计数器。 三、实验台: 四、布线: 1、将芯片(1)的引脚4、10连到一起, 2、将芯片(2)的引脚4、10连到一起, 3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起, 4、将芯片(1)的引脚10连到+5V; 5、将芯片(1)的引脚1、13连到一起, 6、将芯片(2)的引脚1、13连到一起, 7、将芯片(1)的引脚13和芯片(2)的引脚13连到一起, 8、将芯片(1)的引脚13连到+5V; 9、将芯片(1)的引脚3接到时钟信号CP 10、将芯片(1)的引脚2、6接到一起,再将引脚2接到引脚11 11、将芯片(1)的引脚8、12接到一起,再将芯片(1)的引脚8接到芯片(2)的引脚3 12、将芯片(2)的引脚2、6接到一起,再将引脚6接到引脚11 13、将芯片(1)的引脚5、9分别接到Q 0、Q 1 ,再将芯片(2)的引脚5、9分 别接到Q 2、Q 3 14、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

实验四 触发器 实验报告

实验四触发器实验报告 徐旭东 11180243 物理112班 一、实验目的 1. 熟悉并掌握R-S、D、J-K触发器的特性和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片 三、实验内容步骤及记录 1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。

(1)试按下面的顺序在S R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 d S =1 d R =1 观察并记录触发器的Q 、Q _ 端的状态,将结果填入 下表4.1中,并说明在上述各种输入状态下,RS 执行的是什么逻辑功能? 表4.1 d S d R Q 逻辑功能 0 1 1 1 1 1 0 1 1 1 0 0 0 0 1 1 置1 保持 置0 保持 (2)当d S 、d R 都接低电平时,观察Q 、Q _ 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q _ 端的状态,重复3~5次看Q 、Q _ 端的状态是否相同,以正确理解“不定” 状态的含义。 结论: 当d S 、d R 都接低电平时,Q 和Q _ 端的状态不定。 2. 维持- 阻塞型D 触发器功能测试 双D 型正边沿维持-阻塞型触发器74LS74的逻辑符号如图4.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位端),CP 为时钟脉冲端。试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录Q 、Q _ 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉 冲作为CP ,观察并记录当CP 为0、 、1、 时Q 端状态的变化。 图4.1 基本RS 触发器电 图4.2D 逻辑符号

实验五计数器的设计实验报告

实验五计数器的设计——实验报告 邱兆丰 15331260 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 1、实验箱、万用表、示波器、 2、74LS73,74LS00,74LS08,74LS20 三、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 2.集成J-K触发器74LS73 ⑴符号: 图1 J-K触发器符号

⑵功能: 表1 J-K触发器功能表 ⑶状态转换图: 图2 J-K触发器状态转换图

⑷特性方程: ⑸注意事项: ①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。 ①触发器的两个输出负载不能过分悬殊,否则会出现误翻。 ② J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的清零端子。3.时序电路的设计步骤 内容见实验预习。 四、实验内容 1.用JK触发器设计一个16进制异步计数器,用逻辑分析仪观察CP和各输出波形。2.用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出波形。3.设计一个仿74LS194 4.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为:5.考虑增加一个控制变量D,当D=0时,计数器按自定义内容运行,当D=1时,反方向运行 五、实验设计及数据与处理 实验一

16进制异步计数器 设计原理:除最低级外,每一级触发器用上一级触发器的输出作时钟输入,JK都接HIGH,使得低一级的触发器从1变0时高一级触发器恰好接收下降沿信号实现输出翻转。实验二 16进制同步计数器 设计原理:除最低级外,每一级的JK输入都为所有低级的输出的“与”运算结果实验三 仿74LS194 设计原理:前两个开关作选择端输入,下面四个开关模仿预置数输入,再下面两个开关模仿左移、右移的输入,最后一个开关模仿清零输入。四个触发器用同一时钟输入作CLK输入。用2个非门与三个与门做成了一个简单译码器。对于每一个触发器,JK输入总为一对相反值,即总是让输入值作为输出值输入。对于每一个输入,当模式“重置”输出为1时,其与预置值结果即触发器输入;当模式“右移”、“左移”输出为1时,其值为上一位或下一位对应值;当各模式输出均为0时各触发器输入为0,使输出为0。 实验四 设计原理: 在12进制同步计数器中,输出的状态只由前一周期的状态决定,而与外来输入无关,因此目标电路为Moore型。而数字电路只有0和1两种状态,因此目标电路要表达12种状态需

数据库存储器与触发器实验报告(互联网+)

南昌航空大学实验报告 二0一七年 5 月 3 日 课程名称:数据库概论实验名称:存储器与触发器 班级:xxx 姓名:xxx 同组人: 指导教师评定:签名: 一、实验环境 1.Windows2000或以上版本; 2.SQLServer2000或2005。 二、实验目的 1.掌握存储过程的创建,修改,使用,删除; 2.掌握触发器的创建,修改,使用,删除。 三、实验步骤及参考源代码 1.创建过程代码: CREATE PROCEDURE C_P_Proc(@ccna varchar(10),@cno char(4)OUTPUT,@cna varchar(10)OUTPUT,@pna varchar(20)OUTPUT,@num int OUTPUT) AS SELECT @cna=cna,@cno=https://www.wendangku.net/doc/639466563.html,o,@pna=pna,@num=num FROM cp,customer,paper WHERE https://www.wendangku.net/doc/639466563.html,o=https://www.wendangku.net/doc/639466563.html,o AND paper.pno=cp.pno AND cna=@ccna;

6.执行存储过程C_P_Pro,实现对李涛,钱金浩等不同顾客的订阅信息查询execute C_P_Proc@name='李涛' execute C_P_Proc@name='钱金浩' 7,删除存储过程C_P_Prcc DROP PROCEDURE C_P_PROC (4)在DingBao数据库中针对PAPER创建插入触发器TR_PA PER_I、删除触发器TR_PAPER_D、修改触发器TR_PAPER_U。具体要求如下。

<1>对PAPER的插入触发器:插入报纸记录,单价为负值或为空时,设定为10元。 CREATE TRIGGER TR_PAPER_I ON paper FOR INSERT AS DECLARE @ippr FLOAT; declare @ipno int; SELECT @ippr=ppr,@ipno=pno from inserted begin if @ippr<0 or @ippr is NULL begin raiserror('报纸的单价为空或小于零!',16,1) update paper set ppr=10 where paper.pno=@ipno end

JK触发器真值表

. : 触发器真值表分析D 1. D 触发器真值表Qn+1 Dn00 1 1 后的 D 触发器真值表 2. 考虑“清零”和“预置” PR=1)(清零(预置CLR=1) )无清零() CLR=0无预置( PR=01 0 :DT=D*/CLR+PR =/D*/PR+CLR DC: 1 触发器的布尔方程:3. D = D * /CLR + PR DT : = /D * /PR + CLR DC : JK触发器 1.JK 触发器真值表 Qn+1 J K Qn 0 0 0 0 1 1 1 0 /Q 1 1 后的触发器真值表预置 JK ” 2. 考虑“清零”和

“ J K JKT 0 0 JKT 0 0 1 1 0 1 1 1 /JKT 3.JK 触发器的布尔方程: JKT : = J * /JKT + /K * JKT JKC : = /J * /JKC + K * JKC '. . 触发器RS 1. RS 触发器真值表 Qn+1 S R 100 001 Qn01 X 1 1 “预置”后的 RS 触发器真值表”2. 考虑“清零和

SRT R S SRT 0 0 1 0 1 0 1 0 X 1 1 3. RS触发器的布尔方程: SRT:= S + /R * SRT SRC:= R + /S * SRC T触发器 1. T触发器真值表 Tn Qn+1 0 Qn 1 /Qn 触发器真值表T2.考虑“清零”和“预置”后的TT T TT 0 /TT 1 3.T触发器的布尔方程: TT:= T * /TT + /T * TT TC:= T * /TC +/T * TC '.

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

触发器实验报告

触发器实验报告 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原 理(必填) 三、主要仪器设备(必填) 四、操作方法和实 验步骤 五、实验数据记录和处理 六、实验结果与分 析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 实验截图: 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三404

(上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K , D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图:

FPGA触发器与计数器实验报告

上海电力学院FPGA应用开发实验报告 实验名称:触发器与计数器 专业:电子科学与技术 姓名: 班级: 学号:

1.触发器功能的模拟实现 实验目的: 1.掌握触发器功能的测试方法。 2.掌握基本RS触发器的组成及工作原理。 3.掌握集成JK触发器和D触发器的逻辑功能及触发方式。 4.掌握几种主要触发器之间相互转换的方法。 5.通过实验,体会EPLD芯片的高集成度和多I/O口。 实验说明: 将基本RS触发器,同步RS触发器,集成J-K触发器,D触发器同时集一个FPGA芯片中模拟其功能,并研究其相互转化的方法。 实验的具体实现要连线测试,实验原理如图所示:

2.计数器 在VHDL中,可以用Q<=Q+1简单地实现一个计数器,也可以用LPM来实现。下面分别对这两种方法进行介绍。 方法一: 第1步:新建一个Quartus项目。 第2步:建立一个VHDL文件,实现一个8位计数器。计数器从“00000000”开始计到“11111111”,计数器的模是256。计数器模块还需要包含一个时钟clock、一个使能信号en、一个异步清0信号aclr和一个同步数据加载信号sload。模块符号如下图所示: 第3步:VHDL代码如下:

第4步:将VHDL文件另存为counter_8bit.vhd,并将其设定为项目的最顶层文件,再进行语法检查。 第5步:语法检查通过以后,用KEY[0]表示clock,SW[7..0]表示data,SW[8~10]分别表示en、sload和aclr;LEDR[7..0]表示q。 第6步:引脚分配完成后,编译并下载。 第7步:修改上述代码,把计数器的模更改为100,应如何操作。 模为100的计数器,VHDL代码如下:

用JK触发器和门电路设计一个4位格雷码计数器

福建农林大学金山学院 课程设计报告 课程名称:数字逻辑 课程设计题目:用JK触发器和门电路设计一个4位 格雷码计数器 姓名: 系: 专业: 年级: 学号: 指导教师: 职称: 2011年6 月29 日

用JK触发器和门电路设计一个4位格雷码计数器 一、实验目的 1、用JK触发器和门电路设计一个4位格雷码计数器。 2、加强对格雷码的认识。 3、熟悉对JK触发器的使用。 4、利用仿真软件Multisim对数字电路进行仿真和实现。 二、仿真软件Multisim介绍 (注:因为本课程设计用的是2011年的版本,所以对此进行简单的介绍) ⑴仿真软件:NI Multisim软件是一个专门用于电子电路仿真与设计的EDA 工具软件。作为Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。NI Multisim软件绝对是电子学教学的首选软件工具。 ⑵特点:①直观的图形界面。②丰富的元器件。③强大的仿真能力。④丰富的测试仪器。⑤完备的分析手段。⑥独特的射频(RF)模块。⑦强大的MCU模块。 ⑧完善的后处理。⑨详细的报告。⑩兼容性好的信息转换。 三、实验步骤(包括设计过程、仿真结果和结果分析) ⒈设计过程

8 1 1 0 0 0 9 1 1 0 1 0 10 1 1 1 1 0 11 1 1 1 0 0 12 1 0 1 0 0 13 1 0 1 1 0 14 1 0 0 1 0 15 1 0 0 0 1 16 0 0 0 0 0 ② 按状态转换表的计数顺序可得****3210Q Q Q Q 的卡诺图: 从而分别得出*3Q 、*2Q 、*1Q 、*0Q 、C 的卡诺图:

触发器、计数器及其应用

实验八触发器、计数器及其应用 一、实验目的 1. 掌握集成J-K 触发器和D触发器的逻辑功能,学习用触发器组成计数器。 2. 掌握集成计数器74LS290 的逻辑功能和使用方法。 3. 学习中规模集成显示译码器和数码显示器配套使用的方法。 二、实验属性 综合性实验 三、实验仪器设备及器材 数字实验箱1台;直流稳压电源1 台;信号发生器1台;74LS112、74LS74、74LS290; 译码显示电路板等。 四、实验要求 1.预习有关触发器、计数器的内容。 2.预习有关译码器的工作原理。 3.绘出各实验内容的详细线路图。 4.拟出各实验内容所需的测试记录表格。 五、实验原理 1.触发器 常见的集成触发器有D触发器和J K 触发器,根据电路结构,触发器受时钟脉冲触发的方式有维持阻塞型和主从型。维持阻塞型又称边沿触发方式,触发状态的转换发生在时钟脉冲的上升或下降沿。而主从型触发方式状态的转换分两个阶段,在CP=1 期间完成数据存入,在C P 从1变为0时完成状态转换。 2.计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。 根据计数体制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。 目前,无论是TTL 还是CMOS 集成电路,都有品种较齐全的中规模集成计数电路。 使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 3.译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示还用于数据分配、存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 六、实验内容与步骤 1.J-K触发器 (1)改变J、K、CP 端状态,观察Q、 Q状态变化,观察触发器状态更新是否发生在CP 脉冲的下降沿。 (2)按图10.7 接线,用74LS112 双JK 触发器构成同步三进制加法计数器

触发器例题

1.分析图P6.1所示由两个或非门组成的基本触发器,写出真值表,状态转换真值表,特征方程,约束条件,状态转换图及激励表。 图P6.1 解:(1)真值表(2)状态转换真值表 (3)特征方程及约束条件 图P6.1(a) Q n+1=S D+····特征方程 R D·S D=0·······约束条件 (4) 状态转换图及激励表 状态转换图图P6.1(b) 2. 分析图P6.1所示由两个与或非门组成的钟控触发器,写出真值表,状态转换真值表,特征方程,约束条件,状态转换图及激励表。

图P6.2 解:当CP=0时,Q n+1=Q n 当CP=1时 (1)真值表(2)状态转换真值表 (3)特征方程及约束条件 图P6.1(a) Q n+1=S D+····特征方程 R D·S D=0·······约束条件 (4) 状态转换图及激励表 图P6.1(b)状态转换图3.试画出图P6.3所示电路v0输出波形。(设初始状态v0=0)

(a)(b) 图P6.3 解:A=1,状态保持. A=0, 基本RSFF. ,清0;,强制置1。 图P6.A3 4.试画出图P6.4所示电路中输出V01,V02波形。 (a)(b) 解:A=0时, A=1时,

图P6.A4 5.图P 6.5所示各边沿触发器CP及A,B,C波形已知,写出特征方程Q n+1的表达式,画出Q 端波形(设起始状态为0)。 (a)(b) 图P6.5(c) 解:(a)Q1n+1=[D]CP↑=[(A⊙B)·]CP↑ (b) Q2n+1=[J+K]CP↓ =[(B⊕C)··+]CP↓ =[(+)]CP↓ =[]CP↓

数电(触发器 计数器 分配器 )

实验八 触发器及其应用 一、实验目的 1、掌握基本RS 、JK 、D 和T 触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 5、CMOS 触发器 (1)CMOS 边沿型D 触发器 CC4013是由CMOS 传输门构成的边沿型D 触发器。它是上升沿触发的双D 触 发器,表8-5为其功能表,图8-7为引脚排列。表8-5 图8-7 双上升沿D 触发器 (2)CMOS 边沿型JK 触发器 CC4027是由CMOS 传输门构成的边沿型JK 触发器,它是上升沿触发的双JK 触发器,表8-6为其功能表,图8-8为引脚排列。表8-6 图8-8 双上升沿J -K 触发器

CMOS触发器的直接置位、复位输入端S和R是高电平有效,当S=1(或R=1)时,触发器将不受其它输入端所处状态的影响,使触发器直接接置1(或置0)。但直接置位、复位输入端S和R必须遵守RS=0的约束条件。CMOS触发器在按逻辑功能工作时,S和R必须均置0。 实验九计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、用D触发器构成异步二进制加/减计数器 图9-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图9-1 四位二进制异步加法计数器 若将图9-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引

触发器实验

实验六触发器实验 一.实验目的: 掌握数触发器的使用 二.实验内容和要求 定义BEFORE触发器和UPDATE触发器。能够理解不同类型触发器的作用和执行原理,验证触发器的有效性 三.实验重点和难点 实验重点:触发器的定义 实验难点:利用触发器实现较为复杂的用户自定义完整性 四.实验内容 1、AFTER触发器 (1)在Lineitem表上定义一个UPDATE触发器,当修改订单明细(即修改订单明细截个extendprice、折扣discount、税率tax)时,自动修改Orders 的TotalPrice,以保持数据的一致性。 Total price = totalprice+extendedprice*(1-discount)*(1+tax) (2)在lineitem表上定义一个INSERT触发器,当增加一项订单明细时,自动修改该订单Orders的TotalPrice,以保持数据的一致性 (3)在Lineitem表上定义一个DELETE触发器,当删除一项订单明细时,自动修改订单Orders中的TotalPrice,以保持数据的一致性

(4)验证触发器lineitem_price_update /*查看2号订单的含税折扣总价totalprice*/ /*激活触发器:修改2号订单第一个明细项的税率,该税率增加0.5%*/ /*再次查看2号订单的含税折扣总价是否有变化*/ 2、BEFORE触发器 (1)在Lineitem表上定义一个BEFORE UPDATE触发器,当修改订单明细中的数量(quantity)时,先检查PartSupp中的可用数量availqty是否足够

相关文档
相关文档 最新文档