文档库 最新最全的文档下载
当前位置:文档库 › ADC0804模数转换与显示

ADC0804模数转换与显示

ADC0804模数转换与显示
ADC0804模数转换与显示

ADC数模转换与现实

(扩展用1602带电阻值显示)

实验目的:

1.掌握ADC的使用控制方法。

2.实验内容:基本要求:设计一程序采集ADC0809第3通道的电

压值,将其转换为数字量,并在数码管上显示;5V显示为255, 0V 显示为000。

3.扩展要求:将转换结果以用1602表现出当前电阻值。

C程序:

#include //52系列单片机头文件

#include //包含_nop_函数所在的头文件

#define uint unsigned int //宏定义

#define uchar unsigned char

sbit CS=P2^1; //ADC0804 CS 端

sbitwr=P2^4; //ADC0804 WR 端

sbitrd=P2^5; //ADC0804 RD 端

sbit lcd1=P2^3; //lcd锁存器

sbitlcden=P2^2; //lcd1602 EN 端

sbitlcdrs=P2^0; //lcd1602 RS 端

sbitdula=P2^7; //段选端

sbitwela=P2^6; //位选端

// float r;

uchar code table[]={

0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71};

void delay(uintxms) //延时函数

{

uinti,j;

for(i=xms;i>0;i--)

for(j=110;j>0;j--);

}

void display(ucharbai,ucharshi,ucharge) //数码管显示函数{

dula=1; //打开段选

P0=table[bai]; //送入百位

dula=0; //关闭段选

P0=0xff; //消隐

wela=1; //打开位选

P0=0x7e; //选择第一位

wela=0; //关闭位选P0=0; //消隐delay(5); //延时

dula=1;

P0=table[shi];

dula=0;

P0=0xff;

wela=1;

P0=0x7d;

wela=0;

P0=0;

delay(5);

dula=1;

P0=table[ge];

dula=0;

P0=0xff;

wela=1;

P0=0x7b;

wela=0;

P0=0;

delay(5);

}

void write_com(uchar com) //1602写指令{

lcdrs=0;

P0=com;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

void write_data(uchar data1) //1602写数据{lcdrs=1;

P0=data1;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

void init() //1602初始化

{

dula=0; //关闭段选

wela=0; //关闭位选

lcden=0; //lcden置于低电平

write_com(0x38); //设置16*2显示,5*7点阵,8位数据接口

write_com(0x0c); //设置开显示,不显示光标

write_com(0x06); //写一个字符后地址加1

write_com(0x01); //显示清0,数据指针清0

}

void lcd_display(uint ge,F1,F2,F3,F4) //lcd_显示(F1小数点后一位F2小数点后两位F3 F4)

{

wela=0;

dula=0;

lcden=1;

lcd1=1; //打开lcd锁存器

// write_com(0x01);

write_com(0x80); //选择在1602第一行显示write_data(48+ge); //ascii码48 写入个位delay(5); //延时

write_data('.');

delay(5);

write_data(48+F1);

delay(5);

write_data(48+F2);

delay(5);

write_data(48+F3);

delay(5);

write_data(48+F4);

delay(5);

write_data('K');

delay(5);

lcd1=0; //关闭锁存器

}

void main() //主程序

{

uchar a,A1,A2,A3,shu;

uint ge,F1,F2,F3,F4;

float r;

init();

CS=0;

while(1)

{

r=(255-shu)/256; //转换公式

wr=1;

_nop_();

wr=0; //启动A/D转换

_nop_();

wr=1;

for(a=10;a>0;a--)

{

display(A1,A2,A3);

}

P1=0xff; //读取P1口之前先给其写全1

rd=1; //选通AD0804 CS 端

_nop_();

rd=0; //A/D读使能

_nop_();

shu=P1; //A/D数据读取赋给P1口

rd=1;

A1=shu/100; //数码管分出百十个

A2=shu%100/10;

A3=shu%10;

ge=(int)r%10; //(int)字符型强制转换成整形1602分出个位跟小数点后四位

F1=(int)(r*10)%10;

F2=(int)(r*100)%10;

F3=(int)(r*1000)%10;

F4=(int)(r*10000)%10;

lcd_display(ge,F1,F2,F3,F4);

}

}

仿真图:

模数与数模转换

3. 模数转换器 (1) 模/数(A/D )转换器 A/D 转换器是模拟信号源与计算机或其它数字系统之间联系的桥梁,它的任务是将连续变化的模拟信号转换为数字信号,以便计算机或数字系统进行处理、存储、控制和显示。在工业控制和数据采集及其它领域中,A/D 转换器是不可缺少的重要组成部分。 1) 逐次逼近型A/D 转换器 逐次逼近型A/D 转换器又称逐次渐近型A/D 转换器,是一种反馈比较型A/D 转换器。逐次逼近型A/D 转换器进行转换的过程类似于天平称物体重量的过程。天平的一端放着被称的物体,另一端加砝码,各砝码的重量按二进制关系设置,一个比一个重量减半。称重时,把砝码从大到小依次放在天平上,与被称物体比较,如砝码不如物体重,则该砝码予以保留,反之去掉该砝码,多次试探,经天平比较加以取舍,直到天平基本平衡称出物体的重量为止。这样就以一系列二进制码的重量之和表示了被称物体的重量。例如设物体重11克,砝码的重量分别为1克、2克、4克和8克。称重时,物体天平的一端,在另一端先将8克的砝码放上,它比物体轻,该砝码予以保留(记为1),我们将被保留的砝码记为1,不被保留的砝码记为0。然后再将4克的砝码放上,现在砝码总和比物体重了,该砝码不予保留(记为0),依次类推,我们得到的物体重量用二进制数表示为1011。用下表7.1表示整个称重过程。 表7.1 逐次逼近法称重物体过程表 图7.7 逐次逼近型A/D 转换器方框图 利用上述天平称物体重量的原理可构成逐次逼近型A/D 转换器。 逐次逼近型A/D 转换器的结构框图如图7.7所示,包括四个部分:电压比较器、D/A 转换器、逐次逼近寄存器和顺序脉冲发生器及相应的控制逻辑。 逐次逼近型A/D 转换器是将大小不同的参考电压与输入模拟电压逐步进行比较,比较结果以相应的二进制代码表示。转换开始前先将寄存器清零,即送给D /A 转换器的数字量为0,三个输出门G 7、G 8、G 9被封锁,没有输出。转换控制信号有效后(为高电平)开始转换,在时钟脉冲作用下,顺序脉冲发生器发出一系列节拍脉冲,寄存器受顺序脉冲发生器及控制电路的控制,逐位改变其中的数码。首先控制逻辑将寄存器的最高位置为1,使其输出为100……00。这个数码被D/A 转换器转换成相应的模拟电压U o ,送到比较器与待转换的输入模拟电压U i 进行比较。若U o >U i ,说明寄存器输出数码过大,故将最高位的1变成0,同时将次高位置1;若U o ≤U i ,说明寄存器输出数码还不够大,则应将这一位的1 保留。数码的取舍通过电压比较器的输出经控制器来完成的。依次类推按上述方法将下一位置1进行比较确定该位的1是否保留,直到最低位为止。此时寄存器里保留下来的数码即为所求的输出数字量。 2) 并联比较型A/D 转换器 并联比较型A/D 转换器是一种高速A/D 转换器。图8-9所示是3位并联型A/D 转换器,

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

数模与模数转换器 习题与参考答案

第11章 数模与模数转换器 习题与参考答案 【题11-1】 反相运算放大器如图题11-1所示,其输入电压为10mV ,试计算其输出电压V O 。 图题11-1 解:输出电压为: mV mV V R R V IN F O 10010101 =?=-= 【题11-2】 同相运算放大器如图题11-2所示,其输入电压为10 mV ,试计算其输出电压V O 。 图题11-2 解:mV mV V R R V IN F O 110101111 =?=+=)( 【题11-3】 图题11-3所示的是权电阻D/A 转换器与其输入数字信号列表,若数字1代表5V ,数字0代表0V ,试计算D/A 转换器输出电压V O 。 11-3 【题11-4】 试计算图题11-4所示电路的输出电压V O 。 图题11-4 解:由图可知,D 3~D 0=0101 因此输出电压为:V V V V O 5625.151650101254 === )( 【题11-5】 8位输出电压型R/2R 电阻网络D/A 转换器的参考电压为5V ,若数字输入为,该转换器输出电压V O 是多少?

解:V V V V O 988.21532565100110012 58≈== )( 【题11-6】 试计算图题11-6所示电路的输出电压V O 。 图题11-6 解:V V V D D V V n n REF O 5625.1516501012 5~240==-=-=)()( 【题11-7】 试分析图题11-7所示电路的工作原理。若是输入电压V IN =,D 3~D 0是多少? 图题11-7 解:D3=1时,V V V O 6221234== ,D3=0时,V O =0。 D2=1时,V V V O 3221224== ,D2=0时,V O =0。 D1=1时,V V V O 5.1221214== ,D1=0时,V O =0。 D0=1时,V V V O 75.0221204 ==,D0=0时,V O =0 由此可知:输入电压为,D3~D0=1101,这时V O =6V++=,大于输入电压V IN =,比较器输出低电平,使与非门74LS00封锁时钟脉冲CLK ,74LS293停止计数。 【题11-8】 满度电压为5V 的8位D/A 转换器,其台阶电压是多少?分辨率是多少? 解:台阶电压为mV mV V STEP 5.192/50008== 分辨率为:%39.00039.05000/5.195000/===mV V STEP

高分辨率AD转换电路的设计

黄鹤松教授点评:系统采用高精度、低温漂的电压基准AD586分压作为信号源,采用压频转换的原理,利用先进的CPLD电路EPM7128和凌阳单片机SPEC061A共同实现了高精度的18位A/D转换。系统并具有语音报音、SPI数字信号输出接口等功能。稍不足的是制作工艺一般。 高分辨率A/D转换电路的设计 山东大学 苏瑞东高摇吴昊 摘要: 本系统由高精度、低温漂的模拟器件和CPLD构建,实现高精度的18位A/D 转换。模拟输入电压为0-100mV,通过精准的放大和偏置后送给AD650进行V/F 变换,转换出来的频率信号由CPLD进行测量,结果送交控制器,产生18位A/D 转换结果。同时系统可提供0-100mV连续可调的高精度测试用基准源。为了进一步降低干扰,A/D转换和控制电路采用了光速光电耦合器进行了电气隔离。 关键词: V/F CPLD 频率计斩波放大器 Abstract : This system, which is built in the base of analog devices and complicated programmable logic device (CPLD), can deliver 18bit A/D result with high precision. To achieve high precision, The devices that are used in this system should have the characteristic of very love temperature drift .The inputting 0-100mV voltage is first amplified and deflected ,and then delivered to AD650 to perform V/F . The outputting frequency is measured with high precision by CPLD, and the Micro-controller calculate the result .To test the performance of the A/D characteristic, a high precise 0-100mV voltage souse is also available

单片机AD模数转换实验报告

1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。

1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB , LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

AD转换实验报告

A/D转换实验报告

摘要 本设计是利用AT89C51、ADC0809、CD4027芯片为核心,加以其他辅助电路实现对信号的A/D转换,其中以单片机AT89C51为核心控制A/D转换器。先是对信号进行采集,然后用ADC0809对信号实现从模拟量到数字量的转换。改变采样数据,调整电路,使其达到精确转换。

目录 1.方案设计与论证 (1) 1.1理论分析 (1) 1.2输出、输入方案选择 (1) 1.3显示方案 (2) 1.4时钟脉冲选择 (2) 2.硬件设计 (2) 2.1A/D转换器模块 (2) 2.2单片机模块 (3) 2.3JK触发器模块 (4) 3软件设计 (4) 4.仿真验证与调试 (5) 4.1测试方法 (5) 4.2性能测试仪器 (7) 4.4误差分析 (7) 5.设计总结及体会 (5) 附录(一)实物图 (6) 附录(二)软件程序 (6)

1.方案设计与论证 1.1理论分析 8位A/D转换由芯片内部的控制逻辑电路、时序产生器、移位寄存器、D/A转换器及电压比较器组成,它具有将模拟量转换成数字量的特性,其原理图如下: AD转换原理图(1) 1.2输出、输入方案选择 A/D转换器有多路选择器,可选择八路模拟信号IN0~IN7中的一路进入A/D转换。现在选择IN0通道作为输入,则对应的地址码位ADD C=0、ADD B=0、ADD A=0。当转换完成后,OE=1,打开三态输出锁存缓冲器,将转换数据从D7~D0口输出到单片机的P0端口。 IN口输入D端口输出 A/D转换器 图(2)

1.3显示方案 单片机控制数码管显示有两种动态和静态两种方法,由于静态控制数码管每次只能显示一位,造成资源浪费,所以选择动态扫描,并增加变换频率。 1.4时钟脉冲选择 方案一:可以直接用矩形波来控制 方案二:ALE通过JK触发器完成二分频,然后 Q端接CLK。因为晶振的频率是12MHz,ALE的频率为12NHz×1/6=2MHz,经过JK 触发器二分频后就是1MHz. 2.硬件设计 2.1 A/D转换器模块 A/D转换电路图(3) 模拟量从IN0端口输入,经电压比较器后输入到控制电路,转换后从D0~D7口输出,地址码位ADD C=0、ADD B=0、ADD A=0。OE 端输出允许控制信号,EOC转换结束控制信号,EOC=0,转换结束后EOC=1。START转换启动信号,上升沿将片内寄存器清零,下降

AD转换电路

A/D 转换电路 导读: A/D 转换器(ADC )是将模拟信号转换成数字信号的电路。本章将介绍A/D 转换的基本概念和原理电路,重点介绍集成芯片中的常用转换方法:逐次逼近型和V —T 双积分型转换电路,常用集成ADC 芯片,并给出典型应用实例。 0.1 A/D 转换的基本概念 A/D 转换过程包括取样、保持、量化和编码4个步骤,一般,前2个步骤在取样-保持电路中1次性完成,后2个步骤在A/D 转换电路中1次性完成。 1.取样和取样定理 我们知道,要确定(表示)1条曲线,理论上应当用无穷多个点,但有时却并非如此。比如1条直线,取2个点即可。对于曲线,只是多取几个点而已。将连续变化的模拟信号用多个时间点上的信号值来表示称为取样,取样点上的信号值称为样点值,样点值的全体称为原信号的取样信号。1个取样信号示例如图1.1.1-1(b)所示。 取样时间可以是等间隔的,也可以自适应非等时间间隔取样。问题是:对于频率为f 的信号,应当取多少个点,或者更准确地说应当用多高的频率进行取样?取样定理将回答这个问题: 只要取样频率f S 大于等于模拟信号中的最高频率f max 的2倍,利用理想滤波器即可无失真地将取样信号恢复为原来的模拟信号。这就是说,对于1个正弦信号,每个周期只要取2个样点值即可,条件是必须用理想滤波器复原信号。这就是著名的山农(Shannon )取样定理,用公式表示即为 max S 2f f ≥ (12.1-1) 在工程上,一般取max S )5~4(f f ≥。 2.取样-保持 取样后的样点值必须保存下来,并在取样脉冲结束之后到下1个取样脉冲到来之前保

模数与数模转换电路

第9章模数与数模转换电路 课题第9章模数与数模转换电路 理论课 时 4 实验课 时 4 教学目的 1?掌握模数与数模转换原理; 2?掌握模数与数模转换电路的应用。 重点与重点:模数与数模转换原理; 难点难点:模数与数模转换电路的应用。 教学方法讲授法、演示法:多媒体课件讲授、配合板书。 教学内容 1?模数转换器(ADC); 2?数模转换器(DAC)。 课后作业 习题九 一、二、三、四 9.1 概述 9.2 数模转换器(DAC) 一?作用 D/A转换器是将输入的二进制数字量转换成电压或电流形式的模拟量输出。 二?电路组成 如图9-1所示 图9-1 D/A转换器的一般结构 三.应用 图9-2就是按这种方法实现的D/A转换器,实际上,这是一个加权加法运算电路。图中电阻网络与二进制数的各位权相对应,权越大对应的电阻值越小,故称为权电阻网络。图中 VR为稳恒直流电压,是 D/A转换电路的参考电压。n路电子开关S i由n位二进制数D的每能够把模拟量转变为数字量的器件叫模拟-数字转换器(简称 A/D转换器)。 能够把数字量转变为模拟量的器件叫数字-模拟转换器(简称 D/A转换器)。 参考电压] /

一位数码Di 来控制,Di =O 时开关S i 将该路电阻接通“地端” ,Di =1时S i 将该路电阻接通 参考电压 VR 集成运算放大器作为求和权电阻网络的缓冲,主要是为了减少输出模拟信号 负载变化的影响,并将电流输出转换为电压输出。 图9-2中,因A 点“虚地”,V A=O,各支路电流分别为 -- 岗0 - 9-2 权电阻网络D/A 转换器 In -1+ In -2+ …+ I 0= If 以上各式联立得, U o 咯 V R (D n 1 2 n 1 D n 2 2n 2 D o 20 ) R 从上式可见,输出模拟电压 u O 的大小与输入二进制数的大小成正比,实现了数字量到 模拟量的转换。 权电阻网络D/A 转换器电路简单,但该电路在实现上有明显缺点,各电阻的阻值相差较大, 尤其当输入的数字信号的位数较多时, 阻值相差更大。这样大范围的阻值, 要保证每个都有 很高的精度是极其困难的,不利于集成电路的制造。为了克服这一缺点, D/A 转换器广泛采 用T 型和倒T 型电阻网络 D/A 转换器。 I n I n D n I V R R n 1 D n 2V R D n 1 2n V R I f R i 2 D n 2 2n R V R D O V R D o 20 又因放大器输入端“虚断” ,所以,图9-2 权电阻网络D/A 转换器 U o R f

AD转换实验报告

8292924809 基于单片机的AD转换电路 专业: 班级: 学号: 组员: 指导老师: 年月日

目录 键入章标题(第 1 级) (1) 键入章标题(第2 级) (2) 键入章标题(第3 级) (3) 键入章标题(第 1 级) (4) 键入章标题(第2 级) (5) 键入章标题(第3 级) (6)

引言 A/D转换是指将模拟信号转换为数字信号,这在信号处理、信号传输等领域具有重要的意义。常用的A/D转换电路有专用A/D集成电路、单片机ADC模块,前者精度高、电路复杂,后者成本低、设计简单。基于单片机的A/D转换电路在实际电路中获得了广泛的应用。 一般的A/D转换过程是通过采样、保持、量化和编码4个步骤完成的,这些步骤往往是合并进行的。当A/D转换结束时,ADC输出一个转换结束信号数据。CPU可由多种方法读取转换结果:a查询方式;b中断方式;c DMA方式。 通道8为A/D转换器,ADC0809是带有8为A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输出,共用A/D转换器进行转换。三台输出锁存器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 一个实际系统中需用传感器把各种物理参数测量出来,并转换为电信号,在经过A/D转换器,传送给计算机;微型计算机加工后,通过D/A转换器去控制各种参数量。

单片机AD模数转换实验报告

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D 转换程序。 5、启动A/D转换,将输入模拟量的转换

结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。 1、查询法: ORG 0000H START: LJMP MAIN ORG 0100H

MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: M OV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

模数与数模转换器的仿真

课程设计任务书

摘要 目前,无论是模拟通信还是数字通信,在不同的通信业务中都得到了广泛的应用。但是,数字通信的发展速度已明显超过模拟通信,成为当代主流,因为它有很多模拟通信所没有的优点,因此模拟信号往往要被编码成数字信号,从而在数字信道中传输。 本次课程设计是在MATLAB软件环境下进行的,完成的是对A/D和D/A转换器的设计。A/D转换负责将模拟信号转换为数字信号,即用一串数字编码(如0101)去表示对应的一个模拟信号的一点的值,其转换过程是先对输入的模拟信号进行抽样,所使用的抽样频率要满足抽样定理的要求,然后对抽样结果进行幅度离散化(称为量化)并编码为二进制序列。D/A转换的功能与A/D转换相反,它将输入的数字信号序列转换为模拟信号,其转换过程是将输入(二进制)数字序列恢复为相应电平的抽样值序列,然后通过满足抽样定理要求的低通滤波器恢复模拟信号。A/D转换采用平顶抽样技术,所以恢复模拟信号存在高频段的失真,若对恢复信号质量要求严格,需采用均衡器来补偿这种孔径失真。A/D转换器的输出数据形式可以是并行的,也可以是串行的。 关键词:MATLAB;抽样;量化;编码

目录 1.课程设计目的 (1) 2.课程设计要求 (1) 3.相关知识 (1) 3.1 模拟信号数字化 (1) 3.2 A/D和D/A转换的原理 (2) 4.课程设计分析 (3) 4..1 A/D和D/A转换器的模型 (3) 4.2 模块参数设置 (8) 5.仿真 (8) 6.结果分析 (10) 7.参考文献 (11)

1.课程设计目的 (1)加深对A/D和D/A基本理论知识的理解。 (2)培养独立开展科研的能力和编程能力。 (3)掌握A/D和D/A结构及其在通信系统中的应用。 2.课程设计要求 (1)掌握课程设计的相关知识、概念清晰。 (2)程序设计合理、能够正确运行。 3.相关知识 3.1模拟信号数字化 通信系统可以分为模拟和数字通信系统两大类。数字通信系统具有抗干扰能力强,且噪声不积累;传输差错可控;便于用现代数字信号处理技术对数字信息进行处理、变换、存储;易于集成,使通信设备微型化,重量轻;易于加密处理,且保密性好等优点,所以应用非常广泛,已经成为现代通信的主要发展趋势。自然界中的信号都是模拟信号,这就需要我们对模拟信号进行抽样、量化、编码,形成数字信号后,在数字信号系统中传输。在接收端则通过相应的逆变换恢复成模拟信号。若要利用数字通信系统传输模拟信号,一般需要三个步骤:(1)把模拟信号数字化,即模数转换(A/D); (2)进行数字方式传输; (3)把数字信号还原为模拟信号,即数模转换(D/A)。 如果电信号的参量取值连续(不可数、无穷多),则称之为模拟信号。例如,话筒送出的送出电压包含有话音信息,并在一定的取值范围内连续变化。模拟信号有时也称连续信号,这里连续的含义是指信号的某一参量连续变化,或者说在某一取值范围内可以取无穷多个值,而不一定在时间上也连续。 如果电信号的参量仅可能取有限个值,则称之为数字信号。如电报信号、计算机输入/输出信号、PCM信号等。数字信号有时也称离散信号,这个离散是指信号的某一参量是离散变化的,而不一定在时间上也离散。

AD0804模数转换实验报告

FPGA实验报告 题目:ADC0804并行A/D实验班级:20110821 姓名:张俊卿 学号:2011071226 指导教师:张文旭 日期:2014.04.25

ADC0804实验报告 一.实验原理 1.1 输入模拟量转数字量实验原理 本实验要求利用ADC0804实现输入模拟量到数字量的转换,并将转换结果在数码管上输出。由已知电路得ADC0804的输入模拟电压用一个滑动变阻器对电源分压得到,它的8位输出AD0~AD7与FPGA的8个管脚相连,即input [7:0] data。而data就是数码管的动态显示数据。通过循环扫描,使数码管正确的显示出data的十进制数形式。 以下为实验箱内ADC0804与FPGA的连接关系, 图2.ADC0804与FPGA连接图 二.实验应用的器件分析 2.1 ADC0804原理 ADC0804是8位全MOS中速逐次逼近式A/D转换器,片内有三态数据输出锁存器,可以和单片机直接接口。单通道输入,转换时间大约100us。ADC0804转换时序是:当CS=0许可进行A/D转换。WR由低到高时,A/D开始转换,一次转换共需要66-73个时钟周期。CS与WR同时有效时启动A/D转换,转换结束产生INTR信号(低电平有效),可供查询或者中断信号。在CS和RD的控制下可以读取数据结果。 ADC0804 为一只具有20引脚8位CMOS 连续近似的A/D 转换器,其规格如下: (1) 高阻抗状态输出 (2) 分辨率:8 位(0~255) (3) 存取时间:135 ms (4) 转换时间:100 ms

(5) 总误差:-1~+1LSB (6) 工作温度:ADC0804C为0度~70度;ADC0804L为-40 度~85 度 (7) 模拟输入电压范围:0V~5V (8) 参考电压:2.5V (9) 工作电压:5V (10) 输出为三态结构 1. 接脚说明见图1: 2. PIN1 (CS ):Chip Select,与RD、WR 接脚的输入电压高低一起判断读取或写入与否,当其为低位准(low) 时会active。 3. PIN2 ( RD ):Read。当CS 、RD 皆为低位准(low) 时,ADC0804 会将转换后的数字讯号经由DB7 ~ DB0 输出至其它处理单元。 4. PIN3 (WR ):启动转换的控制讯号。当CS 、WR 皆为低位准(low) 时ADC0804 做清除的动作,系统重置。当WR 由0→1且CS =0 时,ADC0804会开始转换信号,此时INTR 设定为高位准(high)。 5. PIN4、PIN19 (CLK IN、CLKR):频率输入/输出。频率输入可连接处理单元的讯号频率范围为100 kHz 至800 kHz。而频率输出频率最大值无法大于640KHz,一般可选用外部或内部来提供频率。若在CLK R 及CLK IN 加上电阻及电容,则可产生ADC 工作所需的时序,其频率约为: 6. PIN5 ( INTR ):中断请求。转换期间为高位准(high),等到转换完毕时INTR 会变为低位准(low)告知其它的处理单元已转换完成,可读取数字数据。 7. PIN6、PIN7 (VIN(+)、VIN(-)):差动模拟讯号的输入端。 输入电压VIN=VIN(+)-VIN(-),通常使用单端输入,而将VIN(-)接地。 8. PIN8 (A GND):模拟电压的接地端。 9. PIN9 (VREF∕2)∶模拟参考电压输入端。VREF 为模拟输入电压VIN 的上限值。若PIN9空接,则VIN 的上限值即为VCC。 10. PIN10 (D GND)∶数字电压的接地端。 11. PIN11 ~ PIN18 (DB7 ~ DB0)∶转换后之数字数据输出端。 12. PIN20 (Vcc)∶驱动电压输入端。

数模转换与模数转换

第六章数模转换与模数转换 授课题目: 6.1 D/A转换器 教学目标: 1、掌握数模、模数转换的概念。 2、理解数模转换的原理。 3、熟悉D/A转换器集成芯片的性能,学习其使用方法。 教学内容(包括重点、难点): 教学重点:1、数模转换的基本原理。 2、D/A转换器集成芯片的使用。 教学难点:1、转换电路的分析计算。 2、知识的综合复习应用。 教学过程设计 ●复习并导入新课 问题:回忆二进制转换为十进制的加权和公式和电阻的串联、并联。 ●就新课内容提出问题 1、什么是模拟量? 2、什么是电模拟量? ●讲授新课 计算机对生产进行实时控制的过程如下: 模拟量:温度、压力、湿度、流量、速度等 电模拟量:电压、电流 6.1 D/A转换器

D/A 转换—从数字信号到模拟信号的转换。 D/A 转换器(简称DAC )—完成D/A 转换的电路。 一、D/A 转换电路原理图 数据锁存器:暂时存放输入的数字量; 模拟电子开关:这些数字量控制模拟电子开关,将参考电压源UREF 按位切换到电阻译码网络中变成加权电流。 集成运放:加权电流经运放求和,输出相应的模拟电压,完成D/A 转换过程。 二、倒 T 形电阻网络DAC 1、电路图 2、工作原理—电流分流形成加权值。 3、转换公式 4、特点 电阻值一致。倒T 形电阻网络支路电流恒定,电路转换速度高。 举例1:若U R=10V ,求对应D3D2D1D0分别为1010、0110和1100时输出电压值。 三、主要性能指标 1、分辨率 分辨率:说明DAC 输出最小电压的能力。它是指最小输出电压(对应的输入数字量仅最低位为1)与最大输出电压(对应的输入数字量各有效位全为1)之比: 分辨率= n :表示输入数字量的位数。n 越大,分辨最小输出电压的能力也越强。 举例2:n=8, DAC 的分辨率为 分辨率= =0.0039 数据锁存器 … D 0D 1 D n -1 … 模拟电子开关 … 电阻译码网络 … 求和运放 参考电压源 模拟输出 U )2...22(2 0022101?++?+?- =----D D D U U n n n n REF n 1 21-n 1 21 -n

模 数(A D)转换器(微机实验报告)

模/数(A/D)转换器 一、实验目的 1、掌握ADC0809模数转换芯片与计算机的连接方法; 2、了解ADC0809芯片的功能及编程方法; 3、了解计算机如何进行数据采集。 二、实验设备 1、PC机一台 2、TPC-H微机接口实验系统实验箱一台 3、连接导线若干 三、实验内容 1、实验电路原理图如图1。 ADC0809是8位A/ D转换器,每采集一次一般需100 s。由于ADC0809 A/ D转换器转换结束后会自动产生EOC信号(高电平有效)。通过实验台左下角电位器RW1输出0~5V直流电压送入ADC0809通道0(IN0),利用debug的输出命令启动A/D转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。启动IN0开始转换: O 298, 0 读取转换结果: I 298 图1 模数转换电路 2、编程采集IN0输入的电压,在屏幕上显示出转换后的数据(用16进制数)。 3、将JP3的1、2短接,使IN1处于双极性工作方式,并给IN1输入一个低频交流信号(幅度为±5V),编程采集这个信号数据并在屏幕上显示波形。 四、编程提示

1、ADC0809的IN0口地址为298H,IN1口地址为299H。 2、IN0单极性输入电压与转换后数字的关系为: 其中Ui为输入电压,UREF为参考电压,这里的参考电压为PC机的+5V电源。 3、一次A/D转换的程序可以为 MOV DX,口地址 OUT DX,AL ;启动转换 ;延时 IN AL,DX ;读取转换结果放在AL 五、参考流程图 图2 流程图1

图3 流程图2 六、实验源程序 code segment assume cs:code start: mov dx,298h ;启动A/D转换器 out dx,al mov cx,0ffh ;延迟 delay: loop delay in al,dx ;从A/D转换器输入数据 mov bl,al ;将Al保存到BL mov cl,4 shr al,cl ;将AL右移四位 call disp ;将显示子程序显示其高四位 mov al,bl

模数转换实验报告

单片机控制ADC0809的模数转换与显示 一、实验内容和要求 本题目对单片机控制ADC0809(Proteus的元件库中没有ADC0809,用ADC0808来代替)的通道3的电压模拟量进行模数转换,转换为数字量后,显示在3位数码管上。调节图中的电位器,可观察到数码管显示的电压值在变化。 二、实验主要仪器设备和材料 计算机一台 三、实验方法、步骤及结果测试 所有操作都在ISIS中进行,步骤如下。 (一)、Proteus电路设计 1.从Proteus库中选取元器件 (1)AT89C51:单片机; (2)RES:电阻; (3)7SEG-MAPX4-CC-BLUE (4)CAP、CAP-ELEC:电容、电解电容 (5)CRYSTAL:晶振; (6)BUTTON:开关 (7)BUTTON (8)ADC0808 (9)POT-HG (10)LED-YELLOW (11)MAX7219 (12)RESONATOR 2.放置元器件、放置电源和地、连线、元器件属性设置、电气检测 所有操作都在ISIS中进行 完成的电路图设计如图

(二)、源程序设计 1、流程图 2、通过Keil u Vision4建立工程,再建立源程序文件

源程序如下 主机程序: LED_0 EQU 30H LED_1 EQU 31H LED_2 EQU 32H ADC EQU 35H CLOCK BIT P2.4 ST BIT P2.5 EOC BIT P2.6 OE BIT P2.7 ORG 00H SJMP START ORG 0BH LJMP INT_T0 START: MOV LED_0, #00H MOV P2,#0FFH MOV LED_1,#00H MOV LED_2,#00H MOV DPTR,#TABLE MOV TMOD,#02H ;设置定时器工作方式2 MOV TH0,#245 MOV TL0,#00H MOV IE,#82H ;开总中断和定时器0中断 SETB TR0 ;启动定时器0 WAIT: CLR ST SETB ST CLR ST JNB EOC,$ ;判断A/D转换结束否 SETB OE ;允许数据量输出 MOV ADC,P3 CLR OE MOV A,ADC MOV B,#51 DIV AB MOV LED_2, A MOV A,B MOV B,#5 DIV AB MOV LED_1, A MOV LED_0, B LCALL DISP ;跳至显示子程序 SJMP WAIT

Σ-Δ模数转换器基本原理及应用

Σ-Δ模数转换器基本原理及应用 一、Σ-Δ ADC基本原理 Σ-Δ ADC以很低的采样分辨率(1位)和很高的采样速率将模拟信号数字化, 通过使用过采样、噪声整形和数字滤波等方法增加有效分辨率, 然后对ADC输出进行采样抽取处理以降低有效采样速率。Σ-ΔADC 的电路结构是由非常简单的模拟电路(一个比较器、一个开关、一个或几个积分器及模拟求和电路)和十分复杂的数字信号处理电路构成。要了解Σ-ΔADC的工作原理, 必须熟悉过采样、噪声整形、数字滤波和 采样抽取等基本概念 1.过采样 ADC是一种数字输出与模拟输入成正比的电路, 图1给出了理想3位单极性ADC的转换特性, 横坐标是输入电压U IN 的相对值, 纵坐标是经过采样量化的数字输出量, 以二进制000~111表示。理想ADC 第一位的变迁发生在相当于1/2LSB的模拟电压值上, 以后每隔1LSB都发生一次变迁, 直至距离满度的1 1/2 LSB。因为ADC的模拟量输入可以是任何值, 但数字输出是量化的, 所以实际的模拟输入与数字输出之间存在±1/2LSB的量化误差。在交流采样应用中, 这种量化误差会产生量化噪声。 图1 理想3位ADC转换特性 如果对理想ADC加一恒定直流输入电压, 那么多次采样得到的数字输出值总是相同的, 而且分辨率受量化误差的限制。如果在这个直流输入信号上叠加一个交流信号, 并用比这交流信号频率高得多的采样频率进行采样, 此时得到的数字输出值将是变化的, 用这些采样结果的平均值表示ADC的转换结果便能得到比用同样ADC高得多的采样分辨率, 这种方法称作过采样(oversampling)。如果模拟输入电压本身就是交流信号, 则不必另叠加一个交流信号。采用过采样方法(采样频率远高于输入信号频率)也同样可提高ADC 的分辨率。 由于过采样的采样速率高于输入信号最高频率的许多倍, 这有利于简化抗混叠滤波器的设计, 提高信噪比并改善动态范围。可以用频域分析方法来讨论过采样问题。由于直流信号转换具有的量化误差达1/2LSB, 所以数据采样系统具有量化噪声。一个理想的常规N位ADC的采样量化噪声有效值为q/12,均匀分布在奈奎斯特频带直流至fs/2范围内, 如图2所示。其中q为LSB的权重, fs为采样速率, 模拟低通滤波器将滤除fs/2以上的噪声。如果用Kfs的采样速率对输入信号进行采样(K

相关文档
相关文档 最新文档