文档库 最新最全的文档下载
当前位置:文档库 › 数控分频器

数控分频器

数字起航—数控分频器零基础电子设计系列课程

主讲人:范秋华

国家级电工电子实验教学中心(青岛大学)

4.4.5 数控分频器

定义

实现

分频值与计数初值、计数器模的关系

举例

数控分频器

数控分频器就是当在输入端给定不同输入数据时,将对输入的时钟信号clk进行相应的分频输出clkout。

10.Architecture a of fdiv is

11.signal cnt: integer range 0 to n;

12.signal temp: std_logic;

13.Begin

14.process (clk)

15.begin

16.if (clk'event and clk='1') then

17.if(cnt

18.else cnt<= 0; temp=‘1’;

19.end if; end if;

20.end process;

21.clkout<= temp;

22.End a;

case keys7 is

when "0000001" => if (clk'event and clk='1') then

if count <"11111111111111110" then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0; end if;end if; --1

when "0000010" => if (clk'event and clk='1') then

if count <"11111111111111100" then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0; end if;end if; --2

when "0000100" => if (clk'event and clk='1') then

if count <"11111111111111000" then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0; end if;end if; --3

when "0001000" => if (clk'event and clk='1') then

if count <"1111111111110000" then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0; end if;end if; --4

when "0010000" =>if (clk'event and clk='1') then

if count <"1111111111110000" then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0; end if;end if; --5

when "0100000" =>if (clk'event and clk='1') then

if count <"1111111111100000" then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0; end if;end if; --6

when others => null;

end case;end process;

process (keys7,clk)

Variable count: integer range 0 to 20;

begin

if (clk'event and clk='1') then

case keys7 is

when "0000001" => if count <4 then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0;

end if; --1

when "0000010" => if count <6 then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0;

end if; --2

when "0000100" => if count <8 then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0;

end if; --3

when "0001000" => if count <10 then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0;

end if; --4

when "0010000" =>if count <12 then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0;

end if; --5

when "0100000" =>if count <14 then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0;

end if; --6

when others => null;

end case; end if;

End process;

国家级电工电子实验教学中心数字启航

数控分频器library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity shufen is

port ( clk : in std_logic ; --时钟

Tone : in std_logic_vector (12 downto 0 ); clkout : out std_logic);

end shufen;

architecture Behavior of shufen is

signal fullspks : std_logic ;begin p1: process ( clk ,Tone)

variable count12 : std_logic_vector( 12 downto 0 ) ;begin

if (clk 'event and clk='1') then

if count12 <"1111111111111" then

count12:=count12 + 1; fullspks <= '0'; else fullspks <='1'; count12 := Tone;

end if;

end if;

end process;

Clkout<=fullspks;end ;

p1: process ( clk,Tone)

variable count10 : integer ( 99 downto0 ) ; begin

if (clk'event and clk='1') then

if count10 < 99 then

count10:=count10 + 1; fullspks<= '0';

else fullspks<='1'; count10 := Tone;

end if;

end if;

end process;

Clkout<=fullspks;end;

注意分频值与计数初值、计数器模的关系

计数器模:100

分频值:10

计数初值:90p1: process ( clk,Tone)

variable count10 : std_logic_vector( 9downto0 ) ; begin

if (clk'event and clk='1') then

if count10 <"1111111111" then

count10:=count10 + 1; fullspks<= '0';

else fullspks<='1'; count10 := Tone;

end if;

end if;

end process;

Clkout<=fullspks;end;

再见!

数控铣床加工实验报告

(一)实验目的 1、了解数控铣床组成及其工作原理。 2、了解零件数控加工的手工编程和自动编程方法。 3、掌握用数控铣床加工零件的工艺过程。 (二)实验内容及安排 1)实验前仔细阅读本实验指示书的内容。 2)教师讲解数控铣床的组成及其工作原理,演示数控铣床操作过程。 3)学生进行程序传输和机床操作,完成零件加工。 (三)实验设备 1)数控铣床。 2)由10台计算机组成的局域网。 3)与机床通讯用计算机5台。 (四)数控铣床的组成 数控铣床的基本组成见图1,它由床身、立柱、主轴箱、工作台、滑鞍、滚珠丝 杠、伺服电机、伺服装置、数控系统等组成。 床身用于支撑和连接机床各部件。主轴箱用于安装主轴。主轴下端的锥孔用于安装铣刀。当主轴箱内的主轴电机驱动主轴旋转时,铣刀能够切削工件。主轴箱还可沿立柱上的导轨在Z向移动,使刀具上升或下降。工作台用于安装工件或夹具。工作台可沿滑鞍上的导轨在X向移动,滑鞍可沿床身上的导轨在Y向移动,从而实现工件在X和Y向的移动。无论是X、Y向,还是Z向的移动都是靠伺服电机驱动滚珠丝杠来实现。伺服装置用于驱动伺服电机。控制器用于输入零件加工程序和控制机床工作状态。控制电源用于向伺服装置和控制器供电。 (五)数控铣床加工说明 1.机床手动操作及手轮操作 (1)手动:选择手动功能键(FANUC系统为功能旋钮“手动”档)(见附图), 然后按动方向按键+X +Y +Z –X –Y –Z,使机床刀具相对于工作台向坐标轴某一 个方向运动。 (2)手轮:选择手轮(单步)功能键(FANUC系统为功能旋钮“手轮”档)(见 附图),然后选择运动方向,KND系统为X Y Z方向按键,FANUC系统为方向旋钮。 2.回零操作 (1)零前准备:用手轮方式将工作台,尤其是刀轴移动至中间部位。(Z向行 程较小,只有100mm,多加注意) (2)零操作:选择回零按键,(FANUC系统为功能旋钮指向回零)。点动+X+Y+Z 按键(FANUC系统为按住+X +Y +Z按键),等待系统自动回零。 3.程序传输 FANUC系统: ①功能旋钮指向“编辑”功能,点击“PROG”按键; ②依次选择屏幕下方“操作”、“READ”、“EXEC”软键,等待程序输入;

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

基于VHDL数控分频器设计

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (4) 四、功能 (5) 1、数控分频器 (5) 2、BCD译码器 (6) 3、扫描器 (11) 4、3-8译码器 (13) 5、7段数码管显示译码器 (16) 五、总体设计电路图 (19) 1总体(顶层)电路原理图 (19) 2仿真结果 (19) 3管脚分配图 (20) 4.硬件连接情况 (20) 六.心得体会 (20)

数控分频器设计 一、设计任务与要求 数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,以实现所需的输出频率。 基本功能: 1、实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。如输入10010000,即对输入的时钟信号进行144分频,如输入01001000,即对输入的时钟信号进行72 分频。 2、输出的波形应为占空比位50%的方波。 3、有显示功能,显示当前的分频比,即,输入的二进制数的大小。 总体框图 设计思路:数控分频器用计数值可并行预置的加法器设计完成,当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比。把输入端输入的八位二进

制数直接通过BCD译码器转换为十位BCD码表示的十进制数,通过扫描器对3个数码管进行选通扫描,最后7段数码管显示译码器对选中数码管的赋值进行译码,并驱动数码管显示该数据。 模块的功能: 1、数控分频器:实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。如输入10010000,即对输入的时钟信号进行144分频。 2、BCD译码器:把输入端的8位2进制数转换成10位BCD码表示的十进制数。 3、扫描器:when “000”=>daout<=dout(3 downto 0); when “001”=>daout<=dout(7 downto 4); when “010”=>daout<=dout(3 downto 2)<="00"; daout(1 downto 0)<=dout(9 downto 8); when others=>null; 4、3-8译码器:当sel=0时,q=11111110;选择个位数码管亮。 当sel=1时,q=11111101;选择十位数码管亮。 当sel=2时,q=11111011;选择百位数码管亮。 5、7段数码管显示译码器:把BCD码表示的十进制数转换成驱动数码管显示的段信号,使数码管显示数字。 三、选择器件 1、装有QuartusII软件的计算机一台。 2、芯片:使用altera公司生产的Cyclone系列芯片,如EP1C12Q240C8芯片 。 此次设计实验采用ALTERA公司的cyclone系列的FPGA芯片EP1C12,设计和仿真采用ALTERA公司的QUARTUS II软件,EP1C12各项参数参照上表。 Cyclone的性能特性 (1)、新的可编程体系结构,实现低成本设计。

数控机床-实验报告模板

成绩: 数控机床与编程实验报告 课程数控机床与编程 专业机械设计制造及其自动化 学号2500100408 姓名何益群 指导教师曾文健 机械与电子信息工程学部 2013年11月21日

一、实验目的 1、熟悉数控机床的典型结构组成和工作原理。掌握手工编程的步骤; 2、掌握数控加工仿真系统的操作流程。 二、实验内容 1、观看机械零件的数控加工生产现场; 2、演示手工编程的操作步骤; 3、演示FANUC系统的数控加工操作流程。 三、实验设备 在工厂员工的带领下,我们观看的数控设备有: 华中数控系统的数控车床; 30系统的数控铣床; FUNAC系统的数控床; 华中数控的镗床: 沈阳机床厂的数控加工中心; 各种普通的车床、铣床,龙门刨床。 四、数控工艺分析 1、零件工艺分析 (1)零件图上尺寸数据的给出,应符合程序编制方便的原则。 1)、零件图上尺寸标注方法应适应数控加工的特点在数控加工零件图上,应以同一基准引注尺寸或直接给出坐标尺寸。 2)、构成零件轮廓的几何元素的条件应充分,便于在手工编程时计算基点或节点坐标。(2)零件各加工部位的结构工艺性应符合数控加工的特点。 1)、零件的内腔和外形最好采用统一的几何类型和尺寸。这样可以减少刀具规格和换刀次数,使编程方便,生产效益提高。 2)、内槽圆角的大小决定着刀具直径的大小,因而内槽圆角半径不应过小。零件工艺性的好坏与被加工轮廓的高低、转接圆弧半径的大小等有关。 3)、零件铣削底平面时,槽底圆角半径r不应过大。 4)、应采用统一的基准定位。在数控加工中,若没有统一基准定位,会因工件的重新安装而导致加工后的两个面上轮廓位置及尺寸不协调现象。因此要避免上述问题的产生,保

EDA实验报告

实验一计数器设计 一、实验目的 计数器是实际中最为常用的时序电路模块之一,本实验的主要目的是掌握使用HDL描述计数器类型模块的基本方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.其他器材与材料若干 三、实验说明 计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或HDL语言完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。 四、实验要求 1.设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。 2.编制仿真测试文件,并进行功能仿真。 3.下载并验证计数器功能。 4.为上述设计建立元件符号。 5.在上述基础上设计计数器。 五、实验结果

十进制计数器程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter10 is port(en,reset,clk:in std_logic; q:buffer std_logic_vector(3 downto 0); co:out std_logic); end counter10; architecture behav of counter10 is begin process(clk,en) begin if clk'event and clk='1' then if reset='1' then q<="0000"; elsif en='1' then if q<"1001" then q<=q+'1'; else q<="0000"; end if; end if; end if; end process;

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

工作报告之数控铣床实验报告

数控铣床实验报告 【篇一:数控铣床实验报告】 数控铣床实训报告 一、实训目的: 1、熟悉数控实训车间安全管理规定; 2、了解数控铣床的基本结构、工作原理及其工作方法,学会正确的操作铣床; 3、熟练掌握系统面板及操作界面的使用; 、 4、掌握数控机床编程方法。 二、实训设备与材料: 铣床:大连xd-40a 刀具:平底铣刀 测量工具:游标卡尺 刀具:平口虎钳 材料:石蜡、木板 绘图工具:autocad绘图软件 ~ 三、实训内容: 1、在实训老师的指导下,了解数控铣床的结构特点,铣床的工作原理及其工作方法。 2、学会编辑并运行程序,最后加工成品。

四、操作步骤: 1、用autocad绘图软件绘出工件模型,并标出各点坐标。 2、对刀,并设定工作坐标系。 3、编写程序,在程序编辑模式下输入程序 4、用计算机仿真,若仿真结果出现错误,则需要再次修改程序,直至结果正确。此时需重新启动数控面板,接着重复步骤2。若仿真结果与所期望的图形一致,则新启动数控面板,接着重复步骤2。 ) 5、切削加工。 6、工件完成后将x、y、z轴复位。接着关闭数控面板电源,再关闭铣床电源。 五、操作注意事项: 1、在对刀过程中xyz轴向一定要清楚,头晕或状态不好时不要去操作操作机床,以免发生意外。在对刀过程中手摇器倍率要调节好,靠近工件的时候一定要把倍率调小,这样可以保证安全和确保更高的对到精确度。 2、操作时要注意刀具有半径补偿,故设计零件时要注意临界值,并注意刀补的方向。 3、铣床操作过程中出现警报时,要及时查找出错原因,切忌不可重启机子解决此问题,否则将出现同样情况。 4、编辑完程序后需要在模拟后保证安全的情况下才能进行加工,在模拟完后要进行加工时务必要先清零,而且要保证回零完全。 六、附录 * 哑铃程序:

电子琴实验报告乐曲硬件演奏电路设计本科论文

武夷学院实验报告 课程名称:逻辑设计与FPGA 项目名称: 乐曲硬件演奏电路设计 ______________ 姓名: 专业:微电子学 班级:14微电子 学号:04实验日期 、 实验预习部分: 1. 实验目的: 学习利用数控分频器设计硬件乐曲演奏电路。 2. 实验原理: 综合利用数控分频器、LPM ROMPLL 等单元电路设计硬件乐曲演奏电路。系统框图如图 1 所示由三个模块组成,分别为 NOTETABSTONETABASPEAKERA NOTETAB 模块(把教材图9-4中的CNT138T 和MUSIC 模块合在一起即为此模块)类似于弹 琴人的手指;TONETAB 模块类似于琴键;SPEAKER 类似于琴弦或音调发生器。 音符的频率由SPEAKER 模块(与教材图9-4中的SPKEF 模块对应)获得,这是一个数控分 频器。由其CLK 端输入一具有较高频率(12MHZ 的信号,通过SPEAKER 分频后由SPKOU 输出。 SPEAKER 对CLK 输入信号的分频比由11位预置数TONE[10..0]决定。SPKOU 的输出频率将决定 每一音符的音调,这样,分频计数器的预置值 TONE[10..0]与SPKOU 的输出频率就有了对应关 系。例如在TONETAB 模块(与教材图9-4中的F_COD 模块对应)中若取 TONE[10..0] = 1036, 图1硬件乐曲演奏电路结构框图 i.;E-Z

将发出音符“ 3”音的信号频率。

实验预习成绩(百分制)____________________ 实验指导教师签字:_________________________

实验五 数控分频器

实验五数控分频器 一、实验目的 1、学会数控分频器的设计、分析和测试方法; 2、根据仿真结果分析设计的优缺点。 二、实验原理 数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DIV IS PORT(CLK:IN STD_LOGIC; K_OR:OUT STD_LOGIC); END ENTITY DIV; ARCHITECTURE BHV OF DIV IS SIGNAL C1,C2:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; BEGIN PROCESS(CLK,C1) BEGIN IF RISING_EDGE(CLK) THEN IF (C1="100") THEN C1<="000";ELSE C1<=C1+1;END IF; IF (C1="001") THEN M1<=NOT M1;ELSIF C1="011" THEN M1<=NOT M1; END IF; END IF; END PROCESS ; PROCESS(CLK,C2) BEGIN IF FALLING_EDGE(CLK) THEN IF (C2="100") THEN C2<="000";ELSE C2<=C2+1;END IF; IF (C2="001") THEN M2<=NOT M2;ELSIF C2="011" THEN M2<=NOT M2;

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

数控车床操作实验报告

目的 1、了解数控车床机械结构 2、了解数控车床的基本操作 3、掌握简单零件的数控加工 原理 数控车床是一种高度自动化的机床,在加工工艺与加工表面形成方法上与普通机床是基本相同的,最根本的不同在于实现自动化控制的原理与方法上。数控车床是用数字化的信息来实现自动化控制的,将与加工零件有关的信息——工件与刀具相对运动轨迹的尺寸参数(进给执行部件的进给尺寸),切削加工的工艺参数(主运动和进给运动的速度、切削深度等),以及各种辅助操作(主运动变速、刀具更换、冷却润滑液关停、工件夹紧松开等)等加工信息用规定的文字、数字、符号组成的代码按一定的格式编写成加工程序单,将加工程序通过控制介质输入到数控装置中,由数控装置经过分析处理后,发出各种与加工程序相对应的信号和指令控制机床进行自动加工 仪器设备 数控车床、塑料棒料 步骤 1、开机,打开机床照明 2、输入程序后并检查加工轨迹 3、装夹工件,检查刀具 4、试切法对刀并验刀 5、调出程序,自动加工 6、手动切断 7、打扫机床并关机 实验过程原始记录 1、上电循环系统启动(解锁状态)打开照明 2、装工件、刀具(45mm的零件需要80-100mm的伸长量)选择35°外圆车刀换刀(在MDI模式下点prog选择1号刀,并输入) 3、仿真(目的检查程序是否准确)CSTM/GR→圆形→操作→head(自动)→执行 4、对刀定参考点坐标右端面,外圆(0,0) MDI→prog→M03S500 插入 循环启动,在手摇模式下切断面(x100:表示一个脉冲走0.1mm)显示坐标:pos 拨扭向上是x方向,下是z方向,切的时候切到一点就好 切削加工过程时倍率的选择(x25)顺时针转动轮盘为退刀,逆为切削 offset→磨耗→清零→输入→形状(注意看清与选择刀具一致) 番号01 z0.0 测量顺时针摇出 Pos(调坐标) 切外圆(x25)注意摇出时x坐标不变 Reset 复位记录27.6mm 补正→形状→x→输入→测量→检测 5、编辑→prog→程序→自动倍率为0时是为了定位 →复位→自动→倍率打开,开始切削 6、合上门,待加工结束 7、关机 实验结果及分析 数控车床主要用于加工轴类、盘套类等回转体零件,能够通过程序控制自动完成内外圆柱面、锥面、圆弧、螺纹等工序的切削加工,并进行切槽、钻、扩、铰孔等工作,一次装夹中可以

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

数控分频器实验报告

《数控分频实验》 姓名:谭国榕班级:12电子卓越班学号:201241301132 一、实验目的 1.熟练编程VHDL语言程序。 2.设计一个数控分频器。 二、实验原理 本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。 三、实验步骤 1.任意奇数分频 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV1 IS PORT(CLK:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; K1,K2,K_OR:OUT STD_LOGIC ); END; ARCHITECTURE BHV OF DIV1 IS SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; --SIGNAL OUT1,OUT2,OUT3:STD_LOGIC; BEGIN PROCESS(CLK,TEMP3) BEGIN IF RISING_EDGE(CLK) THEN IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF; IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF; END PROCESS; PROCESS(CLK,TEMP4) BEGIN IF FALLING_EDGE(CLK) THEN IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF; IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

数控技术实验报告(答案版)

数控技术实验报告 学院 班级 学号 姓名 成绩 井冈山大学机械系 2010年03月

注意事项 数控操作实验是数控技术课程的组成部分之一,对于培养学生理论联系实际和实际动手能力具有极其重要的作用。因此,要求每个学生做到: 一、每次实验前要认真预习,并在实验报告上填写好实验目的和所用 实验设备; 二、实验前,每人必须配合实验指导老师在实验室记录本上做好相关 记录; 三、实验中要遵守实验规则,爱护实验设备,仔细观察实验现象,认 真记录实验数据; 四、在实验结束离开实验室前,必须认真仔细清点整理实验仪器和实 验设备,经实验指导教师检查后后方可离开实验室; 五、实验结束后,要及时对实验数据进行整理、计算和分析,填写好 实验报告,并上交授课教师批阅。

实验一数控车床的认识 实验日期 2010 年 04 月 10 日 同组成员指导教师(签字) 一、实验目的 1.了解数控车削加工工件坐标的设定方法; 2.掌握数控车床操作面板上常用按键的功能; 3.掌握数控车床的基本操作方法。 二、实验设备(规格、型号) 1.CAK3675V数控车床; 2.CAK3275V数控车床; 3.Fanuc 0i-mate TC数控系统。 三、实验记录及数据处理 1. 熟悉Fanuc 0i前置刀架数控车床的操作面板,依次解释、、、、、 、、、、、、、、、、、、、、、、、、、、、、等的功能。 依次的功能为: 按键功能按键功能 显示坐标位置。显示程序屏幕。 显示偏置/设置屏幕。取消键,用于删除最 后一个进入输入缓 存区的字符或符号。

输入键,用于输入工件偏移 值、刀具补偿值(纯数值), 如。 显示用户宏程序/图 形轨迹显示 替换键。插入键,输入指令 字、程序段,如。删除键。复位键,用于使CNC 复位或取消报警等。自动运行远程执行 编辑手动 MDI手动脉冲 单节手动脉冲 单节忽略 主轴正转、停止、反 转控制按钮 选择性停止循环启动 机械锁定循环停止

EDA数控分频器的设计报告

数控分频器的设计 1、实验目的: 学习数控分频器的设计、分析和测试方法。 2、实验原理: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例下文所示。 1) VHDL及语句分析 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS --定义实体DVF PORT ( CLK : IN STD_LOGIC; --时钟输入 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --7位预置数 FOUT : OUT STD_LOGIC ); --输出的频率 END DVF; ARCHITECTURE one OF DVF IS --定义结构体one SIGNAL FULL : STD_LOGIC; --定义信号full BEGIN P_REG: PROCESS(CLK) --进程P_REG中CNT8从预置数D开始 逐步累加到255后,FULL置1;再将 CNT8置为D,循环以获得一个新的周期 脉冲序列FULL,即产生新的频率V ARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; FULL<='1'; ELSE CNT8 := CNT8 + 1; FULL <= '0'; END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) --进程P_DIV中,FOUT是占空比为50%的周期

vhdl实验报告--蜂鸣器

VHDL 实验报告 一、实验目的 1、掌握蜂鸣器的使用; 2、通过复杂实验,进一步加深对VHDL语言的掌握程度。 二、实验原理乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,乐曲中每个音符的发音频率及其持续的时间是乐曲能够连续演奏的两个关键因素。 乐曲的12 平均率规定:每2 个八度音(如简谱中的中音1 与高音1)之间的频率相差1 倍。在2个八度音之间,又可分为12个半音。另外,音符A(简谱中的低音6)的频率为440Hz, 音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音I至高音1 之间每个音符的频率,如表所示。 音名频率/Hz 音名频率/Hz 音名频率/Hz 低音1 中音1 高音1 低音2 中音2 高音2 低音3 中音3 高音3 低音4 中音4 高音4 低音5 392 中音5 784 高音5 1568 低音6 440 中音6 880 高音6 1760 低音7 中音7 高音7 表简谱音名与频率的对应关系 产生各音符所需的频率可用一分频器实现, 由于各音符对应的频率多为非整数, 而分频系数又不能为小数, 故必须将计算得到的分频数四舍五入取整。若分频器时钟频率过低, 则由于分频系数过小, 四舍五入取整后的误差较大;若时钟频率过高,虽然误差变小,但分频数将变大。实际的设计应综合考虑两方面的因素, 在尽量减小频率误差的前提下取合适的时钟频率。实际上,只要各个音符间的相对频率关系不变,演奏出的乐曲听起来都不会走调。 音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。因此, 要控制音符的音 长,就必须知道乐曲的速度和每个音符所对应的节拍数, 本例所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为秒,则只需要提供一个4HZ的时钟频率即可产生四分音符的时长。 本例设计的音乐电子琴选取40MHZ的系统时钟频率。在数控分频器模块,首先对时钟频率进行40分频,得到1MHZ的输入频率,然后再次分频得到各音符的频率。由于数控分频器 输出的波形是脉宽极窄的脉冲波, 为了更好的驱动蜂鸣器发声, 在到达蜂鸣器之前需要均衡占空比, 从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频, 频率变为原来的二分之一即。 因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为 523. 3Hz,它的分频系数应该为: 0.375MHZ 0.375 106 716 523.3 523.3

实验八 数控分频器的设计

实验八数控分频器的设计 1、实验目的 1 学习数控分频器的设计; 2 熟悉VHDL常用语句的意义和使用; 3 练习引脚分配和时序分析。 2、实验内容 1 设计8位数控分频器; 三、实验原理 对于一个N分频器,分频出的时钟周期原时钟周期的N倍,频率是原时钟频率的1/N。 对于一个8位计数器,如果开始预置一个数D,然后启动计数器工作,则经过11111111-D个时钟周期计数器的值又变为11111111,当计数器为该数值时,下一个时钟沿到来之时,又将计数器预置为D,然后开始正常计数。如此通过计数、预置的周期性操作,可以得到一个周期信号(即分频信号),其周期为11111111-D+1,即分频比为R= 11111111-D+1。所以改变D,则可以控制分频比。 数控分频器的VHDL代码见教材P146-147。仿真波形如图8-1所示。 图8-1 数控分频器仿真波形

图8-2 数控分频器芯片引脚分配 三、实验步骤 (一)8位数控分频器的设计 1 建立一个设计工程,工程名为PULSE; 2 打开文本编辑器,建立一个VHDL设计文件,其VHDL代码见教材 P166例6-22,文件名存为PULSE.VHD。注意文件的扩展名要选 为.vhd,而且要求工程名、文件名和设计实体名必须相同。 3 选器件:将器件型号选为; 4 编译; 5 建立波形文件,然后保存,其文件名必须与工程名一致;【波形

设置:①设置仿真时间为500us:②设置输入信号的波形:时钟周期设置为50ns,其他输入信号的波形设置参看图8-1。】 6 仿真,观察输出波形是否正确【参看图8-1中的输出波形】。 7 引脚分配;【将引脚分配到如图8-2所示的位置,然后再编译。 编译通过才算成功】 8 时序分析:分析芯片所能达到的最高时钟频率。【打开时序分析器,然后执行菜单命令:analysis/register performance /start】 五、思考题 1 按本实验所示的方法进行分频,输出的分频信号FULL和FOUT是奇数分频还是偶数分频?分别说明之。 2 将本实验的8位数控分频器扩展为16位分频器; 3 在本实验的设计基础上,如果设置2个预置数D和D1,则可以控制输出信号FULL的占空比,使时钟信号变得比较均匀。试在原来的VHDL 代码基础上进行改进,使用D和D1来控制FULL信号的占空比。 4 设计一个5分频器,使其分频时钟的占空比位3/5,写出VHDL设计代码。 【提示:对于一个5分频器的设计,可以先设计一个4位5进制计数器,则计数器的值在0,1,2,3,4上周期性循环。设计方法是:当计数器为4时,如果下一个时钟沿到来则将计数器置0,然后开始正常计数。另外定义一个分频信号CLK_DIV5,当计数器值为0,1,2时,使CLK_DIV5=1,当计数器值为3,4时,使CLK_DIV5=0,则CLK_DIV5为5分频信号,其占空比为3/5。】

吉大2016年数控技术综合实验报告

吉大2016年数控技术综合实 验报告 《数控技术综合实践》实验考核大纲 课程名称:《数控技术综合实践》 学时学分:5 适用专业:数控技术机械类 先修课程:《机械制图》、《机械工程材料基础》 一、制定本大纲的依据 本大纲根据《数控技术综合实践》考试大纲对学生实验能力的培养要求而制定。 二、实践课程的内容安排及学分分布 1.数控机床结构理论教学(2学分) 2.数控机床及加工中心结构实习(3学分) 三、实践课程目的与要求 实习是根据教学计划来安排得非常重要的实践性教学环节。实习目的是使学生了解和掌握基本生产知识,巩固和丰富已学过的专业知识。培养学生理论联系实际,在生产实际中调查研究、观察问题、分析问题,以及解决问题的能力和方法。 在实习过程中,学生应该学习工人的组织性、纪律性等优秀品质,接受热爱祖国、热爱社会主义建设 的教育,养成在工厂工作和学习的习惯。 《数控技术综合》实验报告 一、实验心得: 1.通过实验我们了解了现代数控机床的生产方式和工艺过程。熟悉了一些材料的成形 方法和主要机械加工方法及其所用主要设备的工作原理和典型结构、工夹量具的使用以及安全操作技术。了解了数控机床方面的知识和新工艺、新技术、新设备在机床生产上的应用。 2.在数控机床的生产装配以及调试上,具有初步的独立操作技能。

3.在了解、熟悉和掌握一定的数控机床的基础知识和操作技能过程中,培养、提高和加强了我的动手能力、创新意识和创新能力。 4.这次实习,让我们明白做事要认真小心细致,不得有半点马虎。同时也培养了我们坚强不屈的本质,不到最后一秒决不放弃的毅力! 5.培养和锻炼了劳动观点、质量和经济观念,强化遵守劳动纪律、遵守安全技术规则和爱护国家财产的自觉性,提高了我们的整体综合素质。 二、数控加工工艺分析(包括机床、刀具的选择,加工路线等): 工件一(如图一)工件二(如图二)使用机床车床车床 装夹工具三爪卡盘夹紧定位三爪卡盘夹紧定位 加工路线 车右端面,循环粗车外圆(Φ10→圆弧 R5→端面→45°倒角),精车(Φ10→圆 弧R5→端面→45°倒角); 循环车削螺纹(刀具初始 位置a→b点→c点→快速 到d→快速回到初始位置 a)刀具外圆粗精车刀(编号T02)螺纹车刀(编号T01)切削用量 粗车(主轴转速2800r/min,进给量 0.2mm/r),精车(主轴转速2800r/min, 进给量0.2mm/r) 主轴转速500r/min; 三、数控加工程序:

数控机床仿真模拟加工实验报告

数控机床仿真模拟加工实验报告 实验目的 1、熟悉典型数控加工仿真软件——宇龙数控加工仿真软件的特点及其应用; 2、通过软件系统仿真操作和编程模拟加工,进一步熟悉实际数控机床操作,提高编写和调试数控加工程序的能力。 3、了解如何应用数控加工仿真软件进行加工过程预测,以及验证数控加工程序的可靠性、防止干涉和碰撞的发生。 实验基本原理 宇龙数控加工仿真软件是模拟实际数控机床加工环境及其工作状态的计算机仿真加工系统;应用该软件,可以基于虚拟现实技术,模拟实际的数控机床操作和数控加工全过程。本实验在熟悉软件的用户界面及使用方法的基础上,针对典型零件进行机床仿真操作运行和零件数控编程模拟加工,从而预测加工过程,验证数控加工程序的可靠性、防止干涉和碰撞的发生。 实验内容及过程 本实验通过指导老师讲解和自己的实际操作练习,分两个阶段完成实验任务;具体如下: 一、初步熟悉数控加工仿真软件的用户界面及基本使用方法: 通过实际练习,了解应用宇龙数控加工仿真软件系统进行仿真加工操作的基本方法,包括: 如何选择机床类型; 如何定义毛坯、使用夹具、放置零件; 如何选择刀具; FANUC 0i 数控系统的键盘操作方法; 汉川机床厂XH715D加工中心仿真操作方法等。 二、针对汉川机床厂XH715D数控加工中心,应用宇龙数控加工仿真软件对凸轮零件进行机床仿真操作运行和数控编程模拟加工: 凸轮零件图如下所示:

机床仿真操作运行和数控编程模拟加工过程如下: 1、机床开启 启动数控铣系统前必须仔细检查以下各项:1.所有开关应处于非工作的安全位置;2.机床的润滑系统及冷却系统应处于良好的工作状态;3.检查工作台区域有无搁放其他杂物,确保运转畅通。之后打开数控机床的电器总开关,启动数控车床。 2、机床回参考点 启动数控铣系统后,首先应手动操作使机床回参考点。将工作方式旋钮置于“手动”,按下“回参考点”按键,健内指示灯亮之后,按“+X”健及“+Z”键,刀架移动回到机床参考点 3、设置毛坯,并使用夹具放置毛坯 通过三爪卡盘将工件夹紧。 4、选择刀具并安装

相关文档