文档库 最新最全的文档下载
当前位置:文档库 › 北航_仪器光电综合实验报告_变形衍射测量及散斑测量实验

北航_仪器光电综合实验报告_变形衍射测量及散斑测量实验

北航_仪器光电综合实验报告_变形衍射测量及散斑测量实验
北航_仪器光电综合实验报告_变形衍射测量及散斑测量实验

仪器科学与光电工程学院

仪器光电综合实验实验

报告

变形衍射测量及散斑测量实验

2012/5/23

实验一变形的全场衍射测量

一、 实验目的:

用光衍射方法测量全场的变形

二、 实验原理:

当激光衍射不仅发生在一点上,而发生在被激光照明的狭缝全长上,就可测定全长上的变形量,因此,激光衍射是一种有效的全场测量。变形的衍射全场测量是一种设备简单,技术可靠的方法,其原理如图所示。当柱体试样没有承载受力时,衍射条纹是近于平行的直线,当加载后,得到反映柱体变形的二

维衍射条纹。测量条纹的形变就获得精密的一个截面上的变形量,转动试样并作连续记录就可测定试件的三维变形。因此,可以快速精密测量直线性、平行度、表面平整度等各种计量指标。

三、 实验步骤:

按照图示安排光路并调整:

Figure 2系统光路

调整步骤: 1、 激光不扩束;

2、 移入移动反射镜4,并在玻璃棒架上装上玻璃棒,将试件夹19换成全场衍射试件。

3、 将分光镜14转90o,然后使得光通过定向孔11后对准玻璃棒,

这时可以看出通

Figure 1变形衍射参考图

过玻璃棒以后的光变成了一条竖直均匀的光(通过调节达到该效果)。

4、调节平面镜12、13和分光镜14,使得上一步骤调出的竖直光均匀的射到全

场衍射试件的狭缝中(稍微偏下侧的橡皮处)。

5、调节透镜20、全场衍射试件及分光镜14使得光射到CCD23上,然后锁定CCD。

6、调节全场衍射试件上的螺旋测微器,观察图象。

四、实验结果及思考题回答:

1、实测未加压前衍射效果图:

Figure 3实测衍射效果

2、实测未加压前不同高度处横截面灰度值分布曲线:

Figure 4纵轴坐标1

Figure 5纵轴坐标2

Figure 6纵轴坐标3

Figure 7纵轴坐标4

实测实验数据:

表格1实测条纹宽度实验数据

对比加压前后的条纹宽度数据可以看到,加压后条纹宽度比加压前宽,相当于实验中狭缝变窄,衍射现象更加明显,该实测数据符合理论预期。

课后思考题:

1、本方法可应用于哪些科研和生产场合,有什么优点?

答:具体应用如工件表面的变形测量;材料力学实验中,材料受压变形以后形变的测量等。

具有微米量级的非接触尺寸测量特点,可以测量被侧物整个表面的变形量,测量精度高,数据处理量小,故相对速度较快,光路有一定复杂性,但相对其他高精度激光测试方法而言,光路相对简单。

2、本方法在应用上的限制是什么?

答:由于设备中用到大量光学仪器,故对实验设备的机械结构及稳定性都有较高的要求,需要在具有一定稳定度的平台上使用;

对光路调整精度要求较高,比如通过玻璃棒后的光,其光强分布应尽量均匀,从而才能获得较好的衍射效果;

3、被测量表面的粗糙度有什么影响,如何排除此影响?

答:表面粗糙度会对实际衍射效果造成较大影响,并影响测量得到的灰度分布曲

线,最终影响测量数据的准确性

数据端处理:结合数字图像处理技术,对用CCD采集到的图像,使用数字图像输出的方法,如低通滤波并锐化,或者低通滤波后进行边缘提取,滤去由表面粗糙引起的高频量,获得清晰的轮廓。

实验二光散斑的性质及测试方法

一、实验目的:

1、了解散斑的性质及特点

2、掌握散斑的测试方法

二、实验原理:

当一束激光射到物体的粗糙表面上时,在粗糙表面前面的空间将布满明暗相同的亮斑与暗斑,这些亮斑与暗斑的分布是杂乱的,故称为散斑(Speckle)。借助于散斑不仅可研究粗糙表面本身,而且还可以研究它的形状与位置变化。因此,把获取这些信息的各种实验技术称为散斑技术。

散斑是相干照明时,粗糙表面各个面积元上散射光波之间干涉在空间域内形成的颗粒状结构。颗粒的大小,可用它的平均直径来表示,而颗粒尺寸的严格定义是两相邻亮斑间距离的统计平均值。此值由产生散斑的激光波长λ及粗糙表面圆形照明区域对该散斑的孔径角u’所决定,即散斑平均直径

若经过一个光学系统,在它的像平面上形成的散斑,称为成像散斑,则

在散斑干涉技术中,常常应用成像散斑来进行测量。

散斑的基本性质:

1.散斑与均匀场的相干结合,散斑图与相应的单独散斑图分布差别不大,只是全暗光斑较少一些

2.散斑与均匀场的不相干叠加,没有全暗散斑

3.两个散斑场的相干相加,散斑的大小没有明显变化

4.两个散斑场的非相干相加,没有全暗光斑

三、实验步骤:

按照图示安排光路并调整:

Figure 8光路图

调整步骤:

1、将扩束用10×物镜换成20×物镜扩束;

2、观察相关或非相关散斑场叠加现象,先做表格中序号1所代表实验,以调试光路(步骤

3~6),并将钢片的散斑场叠加;

3、将透镜21移近透镜20,组成组合透镜;

4、移动可调光阑22至组合透镜的焦面上,滤波;

5、移动CCD23,使散斑图中标志图案清晰,锁定23(23距离透镜20即为像距ν,试件18

距离透镜20即为物距μ,μ、ν及组合透镜的焦距f满足高斯公式时成像最清晰);

6、调节22,控制散斑大小,记录计算机屏上现象;

7、旋转偏振片,使干涉图样明暗对比度增加,记录现象;

8、切换试片,记录现象;

四、实验结果及思考题回答:

实际衍射效果截图:

Figure 9不挡光

对比两臂各分别挡光的条件下,某个位置分别为亮点,而该位置在两臂不挡光的条件下干涉

相消,在图上找出满足该条件的区域。

Figure 10只挡一臂光

在挡去一臂的情况下,找到亮点(区域),该区域在另一臂挡光的条件下依然为亮点,但两臂挡光时为暗点。

Figure 11只挡另一臂光

在挡去一臂的情况下,找到亮点(区域),该区域在另一臂挡光的条件下依然为亮点,但两臂挡光时为暗点。

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航计软实验报告一

北航计软实验报告一

————————————————————————————————作者: ————————————————————————————————日期:

计算机软件基础上机实验报告(一) XXXXXX班XXX 1.实验目的 掌握线性表在顺序分配下的插入与删除运算;掌握线性表的链式存储结构;掌握插入排序的方法;并掌握一种产生随机数的方法。 2.实验内容 1.产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件中。 2.编制一个程序,依次实现以下功能: (1)?定义一个有序(非递减)线性表,其最大容量为1000,初始时为空。 (2)?从由1产生的数据文件中依次取前N个随机整数,陆续插入到此线性表中,并要求在每次插入后保持线性表的有序性。最后将此有序线性表打印输出。 (3)?在由(2)产生的线性表中,依在1中产生的次序逐个将元素删除,直至表空为止。 3. 以N=100及N=400分别运行2的程序,并比较它们的运行时间。 4. 编写一个程序,用插入排序依次将1中产生的1000个随机整数链接成有序链表(不改变原随机数在存储空间中的顺序)。 3.源代码与运行结果 #include<stdio.h> #include<stdlib.h> #include /** 1_1 产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件(1_1.txt)中。 **/ main() { FILE *fo=fopen("1_1.txt","w"); int i,j; srand((unsigned)time(0)); for(i=0;i<=999;i++)fprintf(fo,"%d\n",rand()%1000); fclose(fo); printf("1000个随机数已输出至目录下1_1.txt文件\n");

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航数字图象处理实验报告

数字图像处理实验报告 实验二图像变换实验 1.实验目的 学会对图像进行傅立叶等变换,在频谱上对图像进行分析,增进对图像频域上的感性认识,并用图像变换进行压缩。 2.实验内容 对Lena或cameraman图像进行傅立叶、离散余弦、哈达玛变换。在频域,对比他们的变换后系数矩阵的频谱情况,进一步,通过逆变换观察不同变换下的图像重建质量情况。 3. 实验要求 实验采用获取的图像,为灰度图像,该图像每象素由8比特表示。具体要求如下: (1)输入图像采用实验1所获取的图像(Lena、Cameraman); (2)对图像进行傅立叶变换、获得变换后的系数矩阵; (3)将傅立叶变换后系数矩阵的频谱用图像输出,观察频谱; (4)通过设定门限,将系数矩阵中95%的(小值)系数置为0,对图像进行反变换,获得逆变换后图像; (5)观察逆变换后图像质量,并比较原始图像与逆变后的峰值信噪比(PSNR)。 (6)对输入图像进行离散余弦、哈达玛变换,重复步骤1-5; (7)比较三种变换的频谱情况、以及逆变换后图像的质量(PSNR)。 4. 实验结果 1. DFT的源程序及结果 J=imread('10021033.bmp'); P=fft2(J); for i=0:size(P,1)-1 for j=1:size(P,2) G(i*size(P,2)+j)=P(i+1,j); end end Q=sort(G); for i=1:size(Q,2) if (i=size(Q,2)*0.95) t=Q(i); end end G(abs(G)

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

北航verilog实验报告(全)

目录 实验一 (2) 实验二 (8) 实验三 (14) 实验四 (27)

实验一 实验目的:熟悉硬件开发流程,掌握Modelsim设计与仿真环境,学会简单组合逻辑电路、简单时序逻辑电路设计,不要求掌握综合和综合后仿真。 实验内容:必做实验:练习一、简单的组合逻辑设计 练习二、简单分频时序逻辑电路的设计 选做实验:选做一、练习一的练习题 选做二、7段数码管译码电路 练习一、简单的组合逻辑设计 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验代码: 模块源代码: module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule 测试模块源代码: `timescale 1ns/1ns `include "./compare.v" module t; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1; #100 a=1;b=0; #100 a=0;b=0; #100 $stop; end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验波形

练习二、简单分频时序逻辑电路的设计 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验代码: 模块源代码: module halfclk(reset,clkin,clkout); input clkin,reset; output clkout; reg clkout; always@(posedge clkin) begin if(!reset) clkout=0; else clkout=~clkout; end endmodule 测试模块源代码: `timescale 1ns/100ps `define clkcycle 50 module tt; reg clkin,reset; wire clkout; always#`clkcycle clkin=~clkin; initial begin clkin=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end halfclk m0(.reset(reset),.clkin(clkin),.clkout(clkout)); endmodule

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航惯性导航综合实验一实验报告

实 验一 陀螺仪关键参数测试与分析实验 加速度计关键参数测试与分析实验 二零一三年五月十二日 实验一陀螺仪关键参数测试与分析实验 一、实验目得 通过在速率转台上得测试实验,增强动手能力与对惯性测试设备得感性认识;通过对陀螺仪测试数据得分析,对陀螺漂移等参数得物理意义有清晰得认识,同时为在实际工程中应用陀螺仪与对陀螺仪进行误差建模与补偿奠定基础。 二、实验内容 利用单轴速率转台,进行陀螺仪标度因数测试、零偏测试、零偏重复性测试、零漂测试实验与陀螺仪标度因数与零偏建模、误差补偿实验。 三、实验系统组成 单轴速率转台、MEMS 陀螺仪(或光纤陀螺仪)、稳压电源、数据采集系统与分析系统。

四、实验原理 1.陀螺仪原理 陀螺仪就是角速率传感器,用来测量载体相对惯性空间得角速度,通常输出与角速率对应得电压信号。也有得陀螺输出频率信号(如激光陀螺)与数字信号(把模拟电压数字化)。以电压表示得陀螺输出信号可表示为: (1-1)式中就是与比力有关得陀螺输出误差项,反映了陀螺输出受比力得影响,本实验不考虑此项误差。因此,式(1-1)简化为 (1-2)由(1-2)式得陀螺输出值所对应得角速度测量值: (1-3) 对于数字输出得陀螺仪,传感器内部已经利用标度因数对陀螺仪模拟输出进行了量化,直接输出角速度值,即: (1-4)就是就是陀螺仪得零偏,物理意义就是输入角速度为零时,陀螺仪输出值所对应得角速度。且 (1-5) 精度受陀螺仪标度因数、随机漂移、陀螺输出信号得检测精度与得影响。通常与表现为有规律性,可通过建模与补偿方法消除,表现为随机特性,可通过信号滤波方法抵制。因此,准确标定与就是实现角速度准确测量得基础。 五、陀螺仪测试实验步骤 1)标度因数与零偏测试实验 a、接通电源,预热一定时间; b、陀螺工作稳定后,测量静止情况下陀螺输出并保存数据;

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

北航惯性导航综合实验四实验报告

基于运动规划的惯性导航系统动态实验 GAGGAGAGGAFFFFAFAF

二零一三年六月十日 实验4.1 惯性导航系统运动轨迹规划与设计实验一、实验目的 为进行动态下简化惯性导航算法的实验研究,进行路径和运动状态规划,以验证不同运动状态下惯导系统的性能。通过实验掌握步进电机控制方法,并产生不同运动路径和运动状态。 二、实验内容 学习利用6045B 控制板对步进电机进行控制的方法,并控制电机使运动滑轨产生定长运动和不同加速度下的定长运动。 三、实验系统组成 USB_PCL6045B 控制板(评估板)、运动滑轨和控制计算机组成。 四、实验原理 IMU安装误差系数的计算方法 GAGGAGAGGAFFFFAFAF

USB_PCL6045B 控制板采用了USB 串行总线接口通信方式,不必拆卸计算机箱就可以在台式机或笔记本电脑上进行运动控制芯片PCL6045B 的学习和评估。 USB_PCL6045B 评估板采用USB 串行总线方式实现评估板同计算机的数据交换,由评估板的FIFO 控制回路完成步进电机以及伺服电机的高速脉冲控制,任意 2 轴的圆弧插补,2-4 轴的直线插补等运动控制功能。USB_PCL6045B 评估板上配置了全部PCL6045B 芯片的外部信号接口和增量编码器信号输入接口。由 USB_PCL6045B 评估测试软件可以进行PCL6045B 芯片的主要功能的评估测试。 GAGGAGAGGAFFFFAFAF

图4-1-1USB_PCL6045B 评估板原理框图如图4-1-1 所示,CN11 接口主要用于外部电源连接,可以选择DC5V 单一电源或DC5V/24V 电源。CN12 接口是USB 信号接口,用于USB_PCL6045B 评估板同计算机的数据交换。 USB_PCL6045B 评估板已经完成对PCL6045B 芯片的底层程序开发和硬件资源与端口的驱动,并封装成156 个API 接口函数。用户可直接在VC 环境下利用API 接口函数进行编程。 五、实验内容 GAGGAGAGGAFFFFAFAF

北航电子电路设计数字部分实验报告.doc

电子电路设计数字部 分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据 a 、b 的大小,若相同,则给出结果1,否则给出结果 0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用 always 块和 @(posedge clk) 或 @(negedge clk) 的结构表述一个 1/2 分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航惯性导航综合实验五实验报告

惯性导航技术综合实验 实验五惯性基组合导航及应用技术实验

惯性/卫星组合导航系统车载实验 一、实验目的 ①掌握捷联惯导/GPS组合导航系统的构成和基本工作原理; ②掌握采用卡尔曼滤波方法进行捷联惯导/GPS组合的基本原理; ③掌握捷联惯导/GPS组合导航系统静态性能; ④掌握动态情况下捷联惯导/GPS组合导航系统的性能。 二、实验内容 ①复习卡尔曼滤波的基本原理(参考《卡尔曼滤波与组合导航原理》第二、五章); ②复习捷联惯导/GPS组合导航系统的基本工作原理(参考以光衢编著的《惯性导航原理》第七章); 三、实验系统组成 ①捷联惯导/GPS组合导航实验系统一套; ②监控计算机一台。 ③差分 GPS接收机一套; ④实验车一辆; ⑤车载大理石平台; ⑥车载电源系统。 四、实验内容 1)实验准备 ①将IMU紧固在车载大理石减振平台上,确认IMU的安装基准面紧靠实验平台; ②将IMU与导航计算机、导航计算机与车载电源、导航计算机与监控计算机、GPS接收机与导航计算机、GPS天线与GPS接收机、GPS接收机与GPS电池之间的连接线正确连接; ③打开GPS接收机电源,确认可以接收到4颗以上卫星;

④ 打开电源,启动实验系统。 2) 捷联惯导/GPS 组合导航实验 ① 进入捷联惯导初始对准状态,记录IMU 的原始输出,注意5分钟内严禁移动实验车和IMU ; ② 实验系统经过5分钟初始对准之后,进入导航状态; ③ 移动实验车,按设计实验路线行驶; ④ 利用监控计算机中的导航软件进行导航解算,并显示导航结果。 五、 实验结果及分析 (一) 理论推导捷联惯导短时段(1分钟)位置误差,并用1分钟惯导实验数据验证。 1、一分钟惯导位置误差理论推导: 短时段内(t<5min ),忽略地球自转0ie ω=,运动轨迹近似为平面1/0R =,此时的位置误差分析可简化为: (1) 加速度计零偏?引起的位置误差:2 10.88022t x δ?==m (2) 失准角0φ引起的误差:2 02 0.92182g t x φδ==m (3) 陀螺漂移ε引起的误差:3 30.01376 g t x εδ==m 可得1min 后的位置误差值123 1.8157m x x x x δδδδ=++= 2、一分钟惯导实验数据验证结果: (1)纯惯导解算1min 的位置及位置误差图:

北航计软实验报告一

计算机软件基础上机实验报告(一) XXXXXX班XXX 10021189 1.实验目的 掌握线性表在顺序分配下的插入与删除运算;掌握线性表的链式存储结构;掌握插入排序的方法;并掌握一种产生随机数的方法。 2.实验容 1.产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件中。 2.编制一个程序,依次实现以下功能: (1) 定义一个有序(非递减)线性表,其最大容量为1000,初始时为空。 (2) 从由1产生的数据文件中依次取前N个随机整数,陆续插入到此线性表中,并要求在每次插入后保持线性表的有序性。最后将此有序线性表打印输出。 (3) 在由(2)产生的线性表中,依在1中产生的次序逐个将元素删除,直至表空为止。 3.以N=100及N=400分别运行2的程序,并比较它们的运行时间。 4.编写一个程序,用插入排序依次将1中产生的1000个随机整数成有序链表(不改变原随机数在存储空间中的顺序)。 3.源代码与运行结果 #include #include #include /** 1_1 产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件(1_1.txt)中。 **/ main() { FILE *fo=fopen("1_1.txt","w"); int i,j; srand((unsigned)time(0)); for (i=0;i<=999;i++) fprintf(fo,"%d\n",rand()%1000); fclose(fo); printf("1000个随机数已输出至目录下1_1.txt文件\n");

北航电力电子实验报告

电力电子实验报告 学号12031006 王天然

实验一功率场效应晶体管(MOSFET)特性 与驱动电路研究 一.实验目的: 1.熟悉MOSFET主要参数的测量方法 2.掌握MOSEET对驱动电路的要求 3.掌握一个实用驱动电路的工作原理与调试方法 二.实验设备和仪器 1.NMCL-07电力电子实验箱中的MOSFET与PWM波形发生器部分 2.双踪示波器 3.安培表(实验箱自带)

4.电压表(使用万用表的直流电压档) 三.实验方法 1.MOSFET 主要参数测试 (1)开启阀值电压V GS(th)测试 开启阀值电压简称开启电压,是指器件流过一定量的漏极电流时(通常取漏极电流I D =1mA)的最小栅源极电压。 在主回路的“1”端与MOS 管的“25”端之间串入毫安表(箱上自带的数字安培表表头),测量漏极电流I D ,将主回路的“3”与“4”端分别与MOS 管的“24”与“23”相连,再在“24”与“23”端间接入电压表, 测量MOS 管的栅源电压Vgs ,并将主回路电位器RP 左旋到底,使Vgs=0。 图2-2 MOSFET实验电路

将电位器RP逐渐向右旋转,边旋转边监视毫安表的读数,当漏 极电流I D=1mA时的栅源电压值即为开启阀值电压V GS(th)。 读取6—7组I D、Vgs,其中I D=1mA必测,填入下表中。 I D 0.2 0.5 1 5 100 200 500 (mA) Vgs 2.64 2.72 2.86 3.04 3.50 3.63 3.89 (V) (2)跨导g FS测试 双极型晶体管(GTR)通常用h FE(β)表示其增益,功率MOSFET 器件以跨导g FS表示其增益。 跨导的定义为漏极电流的小变化与相应的栅源电压小变化量之 比,即g FS=△I D/△V GS。 ★注意典型的跨导额定值是在1/2额定漏极电流和V DS=15V下测得,受条件限制,实验中只能测到1/5额定漏极电流值,因此重点是掌握跨导的测量及计算方法。 根据上一步得到的测量数值,计算gFS=0.0038Ω

北航基础物理实验研究性实验报告_分光仪的调整及应用

. 北京航空航天大学物理研究性实验报告 分光仪的调整及其应用 第一作者:所在院系:就读专业:第二作者:所在院系:就读专业:

目录 目录 一.报告简介 (1) 二.实验原理 (1) 实验一.分光仪的调整 (1) 实验二.三棱镜顶角的测量 (3) 实验三.最小偏向角法测棱镜折射率 (1) 二.实验仪器 (1) 三.实验主要步骤 (2) 实验1.分光仪的调整 (2) 1.调整方法 (2) 2.要求 (4) 实验2.三棱镜顶角的测量 (4) 1.调整要求 (4) 2.实验操作 (5) 实验3.棱镜折射率的测定(最小偏向角法) (6) 四.实验数据记录 (6) 五.数据处理 (7) 实验2.反射法测三棱镜顶角 (7) 实验3.最小偏向角法测棱镜折射率 (7) 六.误差分析 (8) 七.分析总结 (8) 八.实验改进 (9) 九.实验感想 (10) 十.参考文献及图片附件: (11)

一.报告简介 本报告以分光仪的调整、三棱镜顶角和其折射率的测量为主要内容,先介绍了实验的基本原理与过程,而后进行了数据处理与不确定度计算。并以实验数据对误差的来源进行了分析。同时还给出了调节分光仪的经验总结与方法,并对现有实验仪器和试验方法提出了改进的意见。 二.实验原理 实验一.分光仪的调整 分光仪的结构因型号不同各有差别,但基本原理是相同的,一般都由底座、刻度读数盘、自准直望远镜、平行光管、载物平台5部分组成。 1-狭缝套筒;2-狭缝套筒紧固螺钉;3-平行光管;4-制动架;5-载物台;6-载物台调平螺钉;7-载物台锁紧螺钉;8-望远镜;9-望远镜锁紧螺钉;10-阿贝式自准直目镜;11-目镜;12-仰角螺钉;13-望远镜光轴水平螺钉;14-支臂;15-望远镜转角微调螺钉;16-读数刻度盘止动螺钉;17-制动架;18-望远镜止动螺钉;19底座;20-转座;21-读数刻度盘;22-游标盘;23-立柱;24-游标盘微调螺钉;25-游标盘止动螺钉

北航惯性导航综合实验四实验报告

基于运动规划的惯性导航系统动态实验 二零一三年六月十日

实验4.1 惯性导航系统运动轨迹规划与设计实验 一、实验目的 为进行动态下简化惯性导航算法的实验研究,进行路径和运动状态规划,以验证不同运动状态下惯导系统的性能。通过实验掌握步进电机控制方法,并产生不同运动路径和运动状态。 二、实验内容 学习利用6045B 控制板对步进电机进行控制的方法,并控制电机使运动滑轨产生定长运动和不同加速度下的定长运动。 三、实验系统组成 USB_PCL6045B 控制板(评估板)、运动滑轨和控制计算机组成。 四、实验原理 IMU安装误差系数的计算方法 USB_PCL6045B 控制板采用了USB 串行总线接口通信方式,不必拆卸计算机箱就可以在台式机或笔记本电脑上进行运动控制芯片PCL6045B 的学习和评估。 USB_PCL6045B 评估板采用USB 串行总线方式实现评估板同计算机的数据交换,由评估板的FIFO 控制回路完成步进电机以及伺服电机的高速脉冲控制,任意 2 轴的圆弧插补,2-4 轴的直线插补等运动控制功能。USB_PCL6045B 评估板上配置了全部PCL6045B 芯片的外部信号接口和增量编码器信号输入接口。由 USB_PCL6045B 评估测试软件可以进行PCL6045B 芯片的主要功能的评估测试。

图4-1-1USB_PCL6045B 评估板原理框图 如图4-1-1 所示,CN11 接口主要用于外部电源连接,可以选择DC5V 单一电源或DC5V/24V 电源。CN12 接口是USB 信号接口,用于USB_PCL6045B 评估板同计算机的数据交换。 USB_PCL6045B 评估板已经完成对PCL6045B 芯片的底层程序开发和硬件资源与端口的驱动,并封装成156 个API 接口函数。用户可直接在VC 环境下利用API 接口函数进行编程。 五、实验内容 1、操作步骤 1)检查电机驱动电源(24V) 2)检查USB_PCL6045B 控制板与上位机及电机驱动器间的连接电缆 3)启动USB_PCL6045B 控制板评估测试系统检查系统是否正常工作。 4)运行编写的定长运动程序,并比较实际位移与设定位移。 5)修改程序设定不同运动长度,并重复执行步骤4)。 6)对记录实验数据,并进行误差分析。 2、实验数据处理

相关文档
相关文档 最新文档