文档库 最新最全的文档下载
当前位置:文档库 › BIEE最全的中文教程

BIEE最全的中文教程

BIEE最全的中文教程
BIEE最全的中文教程

1目录

1 ORACLE BIEE基础 (4)

1.1 OBIEE 概述 (4)

1.1.1 BIEE 历史 (4)

1.1.2 数据模型 (4)

1.2 BIEE 安装 (5)

1.2.1 JDK要求 (5)

1.2.2 下载BIEE (6)

1.2.3 安装BIEE (6)

1.2.4 Logs (8)

1.3 配置 (9)

1.3.1 安装后的目录 (9)

1.3.2 几个重要的配置文件 (9)

1.4 预览Demo效果 (9)

1.4.1 启动BI服务和OC4J (9)

1.4.2 打开BIEE仪表盘 (10)

2 BIEE 开发步骤 (12)

2.1 数据库 (12)

2.1.1 安装数据库 (12)

2.2 创建资料库 (12)

2.2.1 资料库 (12)

2.3 创建物理模型 (13)

2.3.1 导入物理表 (13)

2.3.2 选择维度表和事实表 (14)

2.3.3 完成物理模型 (15)

2.3.4 新建主键和外键 (16)

2.4 创建逻辑模型 (18)

2.4.1 创建逻辑层 (18)

2.4.2 查看逻辑层 (19)

2.4.3 修改逻辑层 (19)

2.5 创建展现模型 (20)

2.5.1 创建展现层 (20)

2.6 保存资料库 (21)

2.6.1 保存资料库 (21)

2.6.2 修改密码 (22)

2.7 发布资料库 (22)

2.7.1 修改NQSConfig.INI (22)

2.7.2 重启Oracle BI Server (22)

2.8 Answers –答复 (23)

2.8.1 登陆BI Dashboards (23)

2.8.2 选择主题 (23)

2.8.4 新建文件夹 (25)

2.8.5 保存报表 (26)

2.9 Dashboards –仪表盘 (27)

2.9.1 创建仪表盘 (27)

2.9.2 编辑仪表盘 (27)

2.9.3 查看仪表盘 (28)

3 Answer详细介绍 (29)

3.1 列 (29)

3.1.1 新建报表 (29)

3.1.2 列属性 (30)

3.1.3 fx-编辑属性 (30)

3.1.4 添加筛选器 (31)

3.1.5 最终效果 (32)

3.2 答复 (33)

3.2.1 Prompts-报表提示 (33)

3.2.2 Title-标题 (33)

3.2.3 Legend-图例 (34)

3.2.4 Narrative-叙述内容 (35)

3.2.5 Ticker-标记视图 (36)

3.2.6 合计 (37)

3.2.7 Chart-图表 (38)

3.2.8 Pivot Table-数据透视图 (39)

3.2.9 Gauge-计量表 (39)

3.2.10 Column Selector-列选择器 (41)

3.2.11 View Selector-视图选择器 (41)

4 Dashboard详细介绍 (43)

4.1 仪表盘设置 (43)

4.1.1 管理 (43)

4.1.2 我的账户 (43)

4.2 仪表盘功能 (43)

4.2.1 Dashboard Prompt-仪表盘提示 (44)

4.2.2 Link or Image-链接或图像 (44)

4.2.3 Embedded Content-嵌入式内容 (45)

4.2.4 Text-文本 (46)

4.2.5 Briefing Book-简要簿 (47)

4.2.6 Folder-文件夹 (49)

4.2.7 Guided Nav. Link-引导导航链接 (49)

4.2.8 Briefing Book Nav. Link-工作簿导航链接 (50)

4.2.9 BI Publisher 报表 (51)

4.2.10 条件显示内容 (51)

5 Delivers介绍 (53)

5.1 Scheduler 配置 (53)

5.1.1 安装计划表 (53)

5.1.3 添加用户认证 (55)

5.1.4 查看Scheduler (56)

5.2 Delivers应用 (57)

5.2.1 编辑我的客户 (57)

5.2.2 创建iBot (58)

5.2.3 查看Alerts (60)

5.2.4 发送Mail (60)

6 其他功能介绍............................................................................................错误!未定义书签。

6.1 钻探 (63)

6.1.1 修改维度表 (63)

6.1.2 创建维度 (65)

6.1.3 修改层次结构 (65)

6.2 导航 (69)

6.2.1 列导航 (69)

6.3 Writeback (72)

6.3.1 修改物理层 (72)

6.3.2 修改连接池 (73)

6.3.3 创建回写模板 (73)

6.3.4 回写授权 (74)

6.3.5 配置回写报表 (74)

6.3.6 查看效果 (76)

6.3.7 回写限制 (76)

6.4 权限 (77)

6.4.1 Administration Tool (77)

6.4.2 新建Group (77)

6.4.3 新建User (79)

6.4.4 登陆Answer (80)

6.5 数据迁移 (81)

6.5.1 资料库迁移 (81)

6.5.2 Catalog迁移 (81)

6.5.3 报表迁移 (82)

6.5.4 其他内容迁移 (82)

6.6 附录 (82)

6.6.1 系统变量 (82)

7 常见问题....................................................................................................错误!未定义书签。

7.1 问题记录 (84)

7.1.1 Oracle BI Scheduler Error: nQSError: 68019 Authentication Failed. (84)

7.1.2 [nQSError: 75006] Failed to send MAIL command. Authentication required . 84

8 Open and Closed Issues for this Deliverable (85)

1 ORACLE BIEE基础

本文档参考如下文档:

<>

<>

<<深入浅出Oracle之BIEE.doc>> {--Author:黄建华}

<> {--Author:史荣}

1.1 OBIEE 概述

1.1.1 BIEE 历史

BIEE:Oracle Business Intelligence Enterprise Edition

Oracle在05年底收购Siebel,取其前端开发工具Siebel Analytics作为Oracle BI的新平台。区别原Discoverer起见,称为Enterprise Edition,而原来的Discoverer就变成了Standard Edition了。二者各取部分结合,加个xe,于是又有所谓的Standards Edition One.这就是OBIEE、OBISE和OBISE ONE的简单缘起。

07年Oracle收了Hyperion,于是取Hyperion BI的部分组件,合并升级为现在的OBI EE Plus。

1.1.2 数据模型

BIEE的数据模型分为3层:

1、物理层Physical,用于定义和连接各类异构数据源,如关系型数据库、符合XML规范的源数据、OLAP 服务、Essbase、Excel等,具体定义数据源物理表结构、字段数据类型、主外键。可简单理解为―物理表定义‖。值得一提的是,BIEE只是保存定义,并没有存储数据本身。物理层通过―连接池‖、―缓存查询结果‖等技术来提高性能。

2、逻辑层Business Model and Mapping,基于物理层构建的DW多维数据模型如星型模型或雪花模型,以及定义逻辑模型与物理模型间的映射关系。需要定义事实表和维度表的主外键关系,可以定义维度表的层次和事实表的度量。这里是整个BIEE的设计核心,需要―整合‖开发人员和业务人员两种视觉。一个逻辑层的表,可能来自多个物理层的表;一个逻辑层的字段,可以来自多个物理层的多个表。

3、展现层Presentation,该层隐藏掉任何技术术语和模型,去掉任何业务不关心的字段如ID列,以最终用户的视角和术语行描述。最常见的做法是面对不同的用户组——业务部门,来设计不同的展现层分析项。这样做的好处是:可以把报表开发交还给业务人员。展现模型中的一个Catalog对应Oracle Answer 中的一个Subject Area。

1.2 BIEE 安装

1.2.1 JDK要求

下载JDK1.5以上版本并安装,可从https://www.wendangku.net/doc/6611772349.html,/javase/downloads/index.jsp下载。我用的是JDK1.6。如果是拷贝过来的,记得手工添加系统环境变量,如下图:

1.2.2 下载BIEE

可从OTN https://www.wendangku.net/doc/6611772349.html,/technology/software/index.html下载,也可从

edelivery https://www.wendangku.net/doc/6611772349.html,下载。不过后者在国内很难连上。我用的是10.1.3.3.3。

Windows下的安装文件名是biee_windows_x86_101330_disk1.zip,大小约1.3GB。解压后,会有三个文件夹,如下图:

其中Client_Ancillary文件夹中有Briefing Book Reader(BIEE提供报表快照的保存,快照文件使用该Reader工具来打开)和开放接口的安装文件。

Server文件夹中是BIEE的主体服务器的安装文件。

而Server_Ancillary是BIEE配套的桌面组件BI OFFICE和BI Publisher,可以用来进行桌面办公协同和生成高级报表。

1.2.3 安装BIEE

1. 运行~\Server\Oracle_Business_Intelligence\setup.exe

2. C盘需要200M,安装盘需要2G以上磁盘空间,其实装完只占用空间1.43G左右。

3. 选择Basic安装,设置安装路径,尽量避免中文路径和目录名称中出现特殊字符,安装类型一般选择Basic,使用该种类型的安装,BIEE的Web Server将由Oracle Oc4j组件来提供,如果选择高级类型,则需要前置安装Oracle AS 10g。

4. 选择Complete安装,Next

5. 设置JDK目录和oc4jadmin密码(也设置为oc4jadmin)

6. 设置服务账号,保留默认值LocalSystem,密码空,下面的服务类型全都改成手动,需要用的时候手工启动即可,否则系统启动太慢。

7. 选择错误消息的语言,保留默认值English

8. 正式开始安装前,会先安装.Net Framework2.0和VC++ 2005 redistributable,整个安装过程持续30分钟左右,不过很快就发现进度条已经100%了,耐心等待即可。整个安装完成后需要重启。

安装完后,建议将注册表启动加载位置上的OC4J删除,需要打开Oracle BI Interactive Dashboards 的时候,Start OC4J即可。

1.2.4 Logs

BIEE目录有很多log日志,可以很方便的定位错误,分别为:

1. BI的安装日志:~\OracleBI\log\install.log

2. BI Server的日志文件:~\OracleBI\Server\Log

3. BI Presentation Server日志:~\OracleBIData\web\log\sawlog0.log

4. Job Manager日志:~\OracleBIData\jobmanager

5. iBot的错误日志:~\OracleBI\Server\log\iBots

6. Scheduler日志:~\OracleBI\server\Log\NQScheduler.log

1.3 配置

1.3.1 安装后的目录

安装后的目录很干净,就两个:

1. OracleBI目录存放BI Server 的Repository,配置文件等;

2. OracleBIData中则包括了BI Presentation中的报表目录文件和Presentation相关的配置文件。

1.3.2 几个重要的配置文件

1. ~\OracleBI\Server\NQSConfig.INI

配置了BI Server的主要参数,如Repository、cache等。改这个文件要重启BI Server服务才能生效。

2. ~\OracleBI\Server\Repository

目录中主要就是BI Server的Repository文件,BI Server只能把这个目录下的rpd文件online装载。

3. ~\OracleBIData\Web文件夹下的catalog文件夹包含了报表的定义等信息。\config 文件夹中则包含了一些重要的配置文件,尤以instanceconfig.xml为重。它配置了BI Presentation 实例的一些重要信息,例如使用了哪一个Catalog 文件夹。修改这个文件之后需要重启Oracle BI Presentation Server 的服务生效。

1.4 预览Demo效果

1.4.1 启动BI服务和OC4J

首先查看下面三个服务是否启动,如果没有,要手工启动:

Oracle BI Server

Oracle BI Presentation Server

Oracle BI Java Host

然后启动OC4J服务(即开始程序中的Start OC4J),运行后可能有警告,忽略,如下图

1.4.2 打开BIEE仪表盘

在程序中打开Oracle Business Intelligence/Welcome to Oracle BI EE,点击Oracle BI Interactive Dashboards,用Administrator登陆,密码也是Administrator(前面安装时设置的),效果如下图:

2 BIEE 开发步骤

本文档主要适用于刚接触BIEE的群体,会详细介绍如何Administration tools的开发,Answer(答复)、Dashboard (仪表盘)以及iBot的使用。

数据建模和ETL等不包含在该文档中。

2.1 数据库

2.1.1 安装数据库

建议在本地安装一个数据库,最好是10G的数据库,9i的据说连接不上。

我装的是Oracle 10.1.0.2.0 Client,并且启用了scott用户,TNSNAME为ORCL。

用scott用户登陆数据库,执行脚本create_objects.sql创建测试用表和数据。

2.2 创建资料库

2.2.1 资料库

N: Admin Tool/File/New

资料库名字为sales.rpd,保存在~ \OracleBI\server\Repository下。可以看到一个空资料库,分三栏,对应三层设计模型,如图:

2.3 创建物理模型

物理模型可以手工创建,但最简单的方法是从源数据库直接导入。

2.3.1 导入物理表

N: Admin Tool/File/Import/from Database

在首先弹出的Select Data Source对话框输入如下图的连接信息:

BIEE的演示案例paint.rpd中使用的是XML数据源,这里我们选择OCI 10g/11g。

2.3.2 选择维度表和事实表

在Import 对话框里可以选择需要导入的数据库对象,这里可以根据对象类型筛选树形列出的数据库对象。建议为所有数据库表建立视图。这样做的好处是:如果数据库发生了变化只需要修改视图定义,BIEE 中的修改工作可以减少很多。

在我的例子中,由于表结构过于简单,因此没定义View,选中客户、物料、销售员以及销售记录表,如图:

在第一次导入物理模型时,还需要设定BI Server进行数据查询时使用的连接信息。

2.3.3 完成物理模型

点击确定后,在Physical 窗口可以看到刚才导入的物理模型了,如下图:

2.3.4 新建主键和外键

接下来要定义各物理表的主键和外键,如果在数据库中已经定义了表的主键和外键,BIEE会自动Import 进来,那么这步可以略过。

双击T_SALE_TRANSACTION 表,在Physical Table 对话框的Foreign Keys 页上点New 按钮,选择物料表及其主键ITEM_ID与事物表关联,如图:

使用同样的方法定义其他表的主键和外键。使用右键菜单―Physical Diagram\Object(s) and All Joins‖可以查看数据源的物理模型图,最终结果如下:

2.4 创建逻辑模型

2.4.1 创建逻辑层

将整个Physical Schema―OM‖拖到―Business Model and Mapping‖窗口,Administrator Tool 将按照物理模型的结构原样创建逻辑模型,自动将物理表的主键作为逻辑表的主键,同时创建逻辑表中的逻辑连接(Logical Join)。

Server Administration Guide 文档建议在逻辑模型中不要建立逻辑外键(Logical Foreign Key),而应使用逻辑连接。

2.4.2 查看逻辑层

通过右键逻辑层的节点,选择Business Model Daigram/Whole Daigram可以看到逻辑关系图。将表关系改为1..n,连接方式改为Left Outer。

2.4.3 修改逻辑层

将除ID以外的字段改成中文,方便后面展现层直接使用。其实Administrator Tool提供了一个工具,可以自动地修改逻辑表和逻辑字段的名称,完成去掉下划线、首字母大写之类的工作,

Tools\Utilities\Rename Wizard,不过在国内用处不大。

2.5 创建展现模型

2.5.1 创建展现层

将整个Business Model―OM‖拖到―Presentation‖窗口,Administrator Tool 将按照逻辑模型的结构原样创建展现模型。展现模型的目录被称为Presentation Catalog,也就是在Oracle Answer 中看到的Subject Area。在展现模型中,所有不希望业务用户看到的字段可以删掉,例如所有ID字段。

FLUENT中文全教程1-250

FLUENT 教程 赵玉新 I、目录 第一章、开始 第二章、操作界面 第三章、文件的读写 第四章、单位系统 第五章、读入和操作网格 第六章、边界条件 第七章、物理特性 第八章、基本物理模型 第九章、湍流模型 第十章、辐射模型 第十一章、化学输运与反应流 第十二章、污染形成模型 第十三章、相变模拟 第十四章、多相流模型 第十五章、动坐标系下的流动 第十六章、解算器的使用 第十七章、网格适应 第十八章、数据显示与报告界面的产生 第十九章、图形与可视化 第二十章、Alphanumeric Reporting 第二十一章、流场函数定义 第二十二章、并行处理 第二十三章、自定义函数 第二十四章、参考向导 第二十五章、索引(Bibliography) 第二十六章、命令索引 II、如何使用该教程 概述 本教程主要介绍了FLUENT 的使用,其中附带了相关的算例,从而能够使每一位使用 者在学习的同时积累相关的经验。本教程大致分以下四个部分:第一部分包括介绍信息、用户界面信息、文件输入输出、单位系统、网格、边界条件以及物理特性。第二和第三部分包含物理模型,解以及网格适应的信息。第四部分包括界面的生成、后处理、图形报告、并行处理、自定义函数以及FLUENT 所使用的流场函数与变量的定义。 下面是各章的简略概括 第一部分: z开始使用:本章描述了FLUENT 的计算能力以及它与其它程序的接口。介绍了如何对具体的应用选择适当的解形式,并且概述了问题解决的大致步骤。在本章中,我们给出

了一个可以在你自己计算机上运行的简单的算例。 z使用界面:本章描述了用户界面、文本界面以及在线帮助的使用方法。同时也提供了远程处理与批处理的一些方法。(请参考关于特定的文本界面命令的在线帮助) z读写文件:本章描述了FLUENT 可以读写的文件以及硬拷贝文件。 z单位系统:本章描述了如何使用FLUENT 所提供的标准与自定义单位系统。 z读和操纵网格:本章描述了各种各样的计算网格来源,并解释了如何获取关于网格的诊断信息,以及通过尺度化(scale)、分区(partition)等方法对网格的修改。本章还描述了非一致(nonconformal)网格的使用. z边界条件:本章描述了FLUENT 所提供的各种类型边界条件,如何使用它们,如何定义它们and how to define boundary profiles and volumetric sources. z物理特性:本章描述了如何定义流体的物理特性与方程。FLUENT 采用这些信息来处理你的输入信息。 第二部分: z基本物理模型:本章描述了FLUENT 计算流体流动和热传导所使用的物理模型(包括自然对流、周期流、热传导、swirling、旋转流、可压流、无粘流以及时间相关流)。以及在使用这些模型时你需要输入的数据,本章也包含了自定义标量的信息。 z湍流模型:本章描述了FLUENT 的湍流模型以及使用条件。 z辐射模型:本章描述了FLUENT 的热辐射模型以及使用条件。 z化学组分输运和反应流:本章描述了化学组分输运和反应流的模型及其使用方法。本章详细的叙述了prePDF 的使用方法。 z污染形成模型:本章描述了NOx 和烟尘的形成的模型,以及这些模型的使用方法。 第三部分: z相变模拟:本章描述了FLUENT 的相变模型及其使用方法。 z离散相变模型:本章描述了FLUENT 的离散相变模型及其使用方法。 z多相流模型:本章描述了FLUENT 的多相流模型及其使用方法。 z Flows in Moving Zones(移动坐标系下的流动):本章描述了FLUENT 中单一旋转坐标系,多重移动坐标系,以及滑动网格的使用方法。 z Solver 的使用:本章描述了如何使用FLUENT 的解法器(solver)。 z网格适应:本章描述了explains the solution-adaptive mesh refinement feature in FLUENT and how to use it 第四部分: z显示和报告数据界面的创建:本章描述了explains how to create surfaces in the domain on which you can examine FLUENT solution data z图形和可视化:本章描述了检验FLUENT 解的图形工具 z Alphanumeric Reporting:本章描述了如何获取流动、力、表面积分以及其它解的数据。 z流场函数的定义:本章描述了如何定义FLUENT 面板内出现的变量选择下拉菜单中的流动变量,并且告诉我们如何创建自己的自定义流场函数。 z并行处理:本章描述了FLUENT 的并行处理特点以及使用方法 z自定义函数:本章描述了如何通过用户定义边界条件,物理性质函数来形成自己的FLUENT 软件。 如何使用该手册 z根据你对CFD 以及FLUENT 公司的熟悉,你可以通过各种途径使用该手册 对于初学者,建议如下:

(完整版)《FLUENT中文手册(简化版)》

FLUENT中文手册(简化版) 本手册介绍FLUENT的使用方法,并附带了相关的算例。下面是本教程各部分各章节的简略概括。 第一部分: ?开始使用:描述了FLUENT的计算能力以及它与其它程序的接口。介绍了如何对具体的应用选择适当的解形式,并且概述了问题解决的大致步骤。在本章中给出了一个简单的算例。 ?使用界面:描述用户界面、文本界面以及在线帮助的使用方法,还有远程处理与批处理的一些方法。?读写文件:描述了FLUENT可以读写的文件以及硬拷贝文件。 ?单位系统:描述了如何使用FLUENT所提供的标准与自定义单位系统。 ?使用网格:描述了各种计算网格来源,并解释了如何获取关于网格的诊断信息,以及通过尺度化(scale)、分区(partition)等方法对网格的修改。还描述了非一致(nonconformal)网格的使用. ?边界条件:描述了FLUENT所提供的各种类型边界条件和源项,如何使用它们,如何定义它们等 ?物理特性:描述了如何定义流体的物理特性与方程。FLUENT采用这些信息来处理你的输入信息。 第二部分: ?基本物理模型:描述了计算流动和传热所用的物理模型(包括自然对流、周期流、热传导、swirling、旋转流、可压流、无粘流以及时间相关流)及其使用方法,还有自定义标量的信息。 ?湍流模型:描述了FLUENT的湍流模型以及使用条件。 ?辐射模型:描述了FLUENT的热辐射模型以及使用条件。 ?化学组分输运和反应流:描述了化学组分输运和反应流的模型及其使用方法,并详细叙述了prePDF 的使用方法。 ?污染形成模型:描述了NOx和烟尘的形成的模型,以及这些模型的使用方法。 第三部分: ?相变模拟:描述了FLUENT的相变模型及其使用方法。 ?离散相变模型:描述了FLUENT的离散相变模型及其使用方法。 ?多相流模型:描述了FLUENT的多相流模型及其使用方法。 ?移动坐标系下的流动:描述单一旋转坐标系、多重移动坐标系、以及滑动网格的使用方法。 ?解法器(solver)的使用:描述了如何使用FLUENT的解法器。 ?网格适应:描述了如何优化网格以适应计算需求。 第四部分: ?显示和报告数据界面的创建:本章描述了explains how to create surfaces in the domain on which you can examine FLUENT solution data ?图形和可视化:本章描述了检验FLUENT解的图形工具 ?Alphanumeric Reporting:本章描述了如何获取流动、力、表面积分以及其它解的数据。 ?流场函数的定义:本章描述了如何定义FLUENT面板内出现的变量选择下拉菜单中的流动变量,并且告诉我们如何创建自己的自定义流场函数。 ?并行处理:本章描述了FLUENT的并行处理特点以及使用方法 ?自定义函数:本章描述了如何通过用户定义边界条件,物理性质函数来形成自己的FLUENT软件。 如何使用该手册 对于初学者,建议从阅读“开始”这一章起步。 对于有经验的使用者,有三种不同的方法供你使用该手册:按照特定程序的步骤从按程序顺序排列的目录列表和主题列表中查找相关资料;从命令索引查找特定的面板和文本命令的使用方法;从分类索引查找特定类别信息(在线帮助中没有此类索引,只能在印刷手册中找到它)。 什么时候使用Support Engineer:Support Engineer能帮你计划CFD模拟工程并解决在使用FLUENT 中所遇到的困难。在遇到困难时我们建议你使用Support Engineer。但是在使用之前有以下几个注意事项:●仔细阅读手册中关于你使用并产生问题的命令的信息 ●回忆导致你产生问题的每一步 ●如果可能的话,请记下所出现的错误信息 ●对于特别困难的问题,保存FLUENT出现问题时的日志以及手稿。在解决问题时,它是最好的资源。

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

fluent学习笔记

fluent技术基础与应用实例 4.2.2 fluent数值模拟步骤简介 主要步骤: 1、根据实际问题选择2D或3Dfluent求解器从而进行数值模拟。 2、导入网格(File→Read→Case,然后选择有gambit导出的.msh文件) 3、检查网格(Grid→Check)。如果网格最小体积为负值,就要重新 进行网格划分。 4、选择计算模型。 5、确定流体物理性质(Define→Material)。 6、定义操作环境(Define→operating condition) 7、制定边界条件(Define→Boundary Conditions) 8、求解方法的设置及其控制。 9、流场初始化(Solve→Initialize) 10、迭代求解(Solve→Iterate) 11、检查结果。 12、保存结果,后处理等。 具体操作步骤: 1、fluent2d或3d求解器的选择。 2、网格的相关操作 (1)、读入网格文件 (2)、检查网格文件 文件读入后,一定要对网格进行检查。上述的操作可以得到网格信息,从中看出几何区域的大小。另外从minimum volume 可以知道最小网格的体积,若是它的值大于零,网格可以用于计算,否则就要重新划 分网格。 (3)、设置计算区域 在gambit中画出的图形是没有单位的,它是一个纯数量的模型。故 在进行实际计算的时候,要根据实际将模型放大或缩小。方法是改变fluent总求解器的单位。 (4)、显示网格。 Display→Grid 3、选择计算模型

(1)、基本求解器的定义 Define→Models→Solver Fluent中提供了三种求解方法: ·非耦合求解 segregated ·耦合隐式求解 coupled implicit ·耦合显示求解 coupled explicit 非耦合求解方法主要用于不可压缩流体或者压缩性不强的流体。 耦合求解方法用在高速可压缩流体 fluent默认设置是非耦合求解方法,但对于高速可压缩流动,有强的体积力(浮力或离心力)的流动,求解问题时网格要比较密集,建 议采用耦合隐式求解方法。耦合能量和动量方程,可以较快的得到收敛值。耦合隐式求解的短板:运行所需要的存比较大。若果必须要耦合求解而机器存不够用,可以考虑采用耦合显示求解方法。盖求解方法也耦合了动量,能量和组分方程,但是存却比隐式求解方法要小。 需要指出的是,非耦合求解器的一些模型在耦合求解器里并不一定都有。耦合求解器里没有的模型包括:多相流模型、混合分数/PDF燃烧模型、预混燃烧模型。污染物生成模型、相变模型、Rosseland辐射模型、确定质量流率的周期性流动模型和周期性换热模型。 %%%有点重复,但是可以看看加深理解 Fluent提供三种不同的求解方法;分离解、隐式耦合解、显示耦合解。分理解和耦合解的主要区别在于:连续方程、动量方程、能量方程和 组分方程解的步骤不同。 分离解按照顺序解,耦合解是同时解。两种解法都是最后解附加的标量方程。隐式解和显示解的区别在于线性耦合方程的方式不同。 Fluent默认使用分离求解器,但是对于高速可压流动,强体积力导致 的强烈耦合流动(流体流动耦合流体换热耦合流体的混合,三者相互耦合的过程—文档整理者注)(浮力或者旋转力),或者在非常精细的网格上的流动,需要考虑隐式解。这一解法耦合了流动和能量方程, 收敛很快。%%% (2)、其他求解器的选择 在实际问题中,除了要计算流场,有时还要计算温度场或者浓度场等,因此还需要其他的模型。主要的模型有: Multiphase(多相流动)viscous(层流或湍流)energy(是否考虑传热)species(反应及其传热相关) (3)操作环境的设置 Define→operation→condition

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

fluent中文简明教程

第一章Fluent 软件的介绍 fluent 软件的组成: 软件功能介绍: GAMBIT 专用的CFD 前置处理器(几何/网格生成) Fluent4.5 基于结构化网格的通用CFD 求解器 Fluent6.0 基于非结构化网格的通用CFD 求解器 Fidap 基于有限元方法的通用CFD 求解器 Polyflow 针对粘弹性流动的专用CFD 求解器 Mixsim 针对搅拌混合问题的专用CFD 软件 Icepak 专用的热控分析CFD 软件 软件安装步骤: 前 处 理 gambit 软 件 Fluent6.0 Fluent5.5&4.5 Fidap Polyflow Mixsim Icepack 通用软件 专用软件

step 1: 首先安装exceed软件,推荐是exceed6.2版本,再装exceed3d,按提示步骤完成即可,提问设定密码等,可忽略或随便填写。 step 2: 点击gambit文件夹的setup.exe,按步骤安装; step 3: FLUENT和GAMBIT需要把相应license.dat文件拷贝到FLUENT.INC/license目录下; step 4:安装完之后,把x:\FLUENT.INC\ntbin\ntx86\gambit.exe命令符拖到桌面(x为安装的盘符); step 5: 点击fluent源文件夹的setup.exe,按步骤安装; step 6: 从程序里找到fluent应用程序,发到桌面上。 注:安装可能出现的几个问题: 1.出错信息“unable find/open license.dat",第三步没执行; 2.gambit在使用过程中出现非正常退出时可能会产生*.lok文件,下次使用不能打开该工作文件时,进入x:\FLUENT.INC\ntbin\ntx86\,把*.lok文件删除即可; 3.安装好FLUENT和GAMBIT最好设置一下用户默认路径,推荐设置办法,在非系统分区建一个目录,如d:\users a)win2k用户在控制面板-用户和密码-高级-高级,在使用fluent用户的配置文件 修改本地路径为d:\users,重起到该用户运行命令提示符,检查用户路径是否修改; b)xp用户,把命令提示符发送到桌面快捷方式,右键单击命令提示符快捷方式在快捷方式-起始位置加入D:\users,重起检查。 几种主要文件形式: jou文件-日志文档,可以编辑运行; dbs文件-gambit工作文件; msh文件-从gambit输出得网格文件; cas文件-经fluent定义后的文件; dat文件-经fluent计算数据结果文件。 第二章专用的CFD前置处理器——Gambit GAMBIT软件是面向CFD的前处理器软件,它包含全面的几何建模能力和功能强大的网格划分工具,可以划分出包含边界层等CFD特殊要求的高质量的网格。GAMBIT可以生成FLUENT5、FLUENT4.5、FIDAP、POL YFLOW等求解器所需要的网格。Gambit软件将功能强大的几何建模能力和灵活易用的网格生成技术集成在一起。使用Gambit软件,将大大减小CFD应用过程中,建立几何模型和流场和划分网格所需要的时间。用户可以直接使用Gambit软件建立复杂的实体模型,也可以从主流的CAD/CAE系统中直接读入数据。Gambit软件高度自动化,所生成的网格可以是非结构化的,也可以是多种类型组成的混合网格。 一. Gambit图形用户界面:

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

FLUENT学习笔记

模拟分离的两个区域内的传热 如果用户的传热计算域涉及到由固体区域或某个壁面分开的两个流动区域(如图11.2.2所示),那么,就需要仔细的设定此计算模型: ● 在任一个流动区域都不能使用outflow 边界条件 ● 通过对每个计算域设定不同的流体介质,用户可以创建单独的流体介质属性(但是,对 于需要组分计算的情况,用户只能对整个计算域设定一个单一的混合介质)。 图表 1 涉及到两个彼此分离流动的典型逆流换热 流动与传热的耦合计算 对于流动与传热耦合问题(例如,模型中包含有依赖于温度的介质属性或浮力),在计算能量方程之前,用户可以首先求解流动方程。获得收敛的流场计算结果之后,用户可以再选择能量方程,然后同时求解流动与传热方程,最终获得问题的完整解。 11.3.7多表面辐射传热模型 多表面辐射传热模型可计算出在封闭(区域)内的漫灰表面之间的辐射换热。两个表面间的辐射换热量依赖于它们的尺寸、间距和方向。这种特性可以用一个被称为“角系数(视系数)”的几何量来度量。 多表面辐射传热模型的主要假定是忽略了所有的辐射吸收、发射和散射,因此,模型中仅考虑表面之间的辐射传热。 漫灰辐射 FLUENT 中的多表面辐射换热模型假定辐射面均为漫灰表面。灰表面的辐射发射和吸收与波长无关。同时,由基尔霍夫定律[ 161]可知,(热平衡时)物体的辐射发射率等于其对黑体辐射的吸收比(αε=)。对于漫反射表面,其反射率与入射方向以及反射方向无关。 FLUENT 中使用的就是漫灰表面模型。另外,正如前文所述,对于我们所感兴趣的量来说,表面之间的辐射换热量实际上并不受到隔开这些表面的介质的影响。这样,由灰体假设,如果表面接受到一定的入射辐射(E ),那么,一部分被反射(E ρ),部分被吸收(E α),剩余的则穿过表面物体(E τ)。对于具体问题中遇到的多数表面,其对热辐射(红外谱段)是不可穿透的,因此,可以认为这些表面是非透明的。所以,我们可以忽略掉辐射的穿透率。从能量守恒有,1=+ρα,又由于εα=(发射率、黑度),因此ερ-=1 !!辐射模型只能使用分离式求解器。 一旦激活辐射模型之后,每轮迭代过程中能量方程的求解计算就会包含有辐射热流。若在设定问题时激活了辐射模型,而又希望将它禁止掉,那么,用户必须在Radiation Model 面板中选定Off 选项。

DC基本问题

1.14. translation这一步是用什么DC命令来实现的? 我们知道,DC综合过程包括3个步骤:translation + logic optimization + mapping transition 对应命令为 read_verilog(read_vhdl等) logic optimization 和 mapping 对应于 compile 1.15. 逻辑优化和映射(logic optimization + mapping)又是用什么DC命令来实现的? logic optimization 和 mapping 均在compile命令完成,但是可以指定使用特殊的优化方法:structural 和flatten,建议大家在synthesis时同时生成structural 和flatten格式的netlist,然后打开看看到底有什么不同之处。 1.17. 基于路径的综合的意思是什么? 路径(path),是DC中的一个重要概念。它包括4种路径方式: 1 input到FF的D端。 2 FF的clk到另一个FF的D端。 3 FF的clk到输出Q。 4 input到output。 基于路径的综合就是对这四种路径进行加约束,综合电路以满足这些约束条件。 1.24 reference 是指什么? 和cell 有什么区别? 当存在一个模块被多次例化,那么该模块就称为reference 1.25 如何读入一个design? 使用analyze + elaborate 或者 read_verilog、read -f verilog、 read_vhdl、read_file 命令。 1.26 analyze+ elaborate 和 read 命令有什么区别? read_file 是可以读取任何synopsys支持格式的;analyze和eloborate 只支持verilog和VHDL两个格式,但是他们支持在中间过程中加入参数而且以便以后可以加快读取过程。

FLUENT中文全教程

FLUEN教程 赵玉新 I、目录 第一章、开始第二章、操作界面第三章、文件的读写第四章、单位系统第五章、读入和操作网格第六章、边界条件第七章、物理特性第八章、基本物理模型第九章、湍流模型第十章、辐射模型第十一章、化学输运与反应流第十二章、污染形成模型第十三章、相变模拟第十四章、多相流模型第十五章、动坐标系下的流动第十六章、解算器的使用第十七章、网格适应第十八章、数据显示与报告界面的产生第十九章、图形与可视化第二十章、Alphanumeric Reporting 第二十一章、流场函数定义第二十二章、并行处理第二十三章、自定义函数第二十四章、参考向导第二十五章、索引( Bibliograp)hy 第二十六章、命令索引 II、如何使用该教程 概述 本教程主要介绍了FLUEN的使用,其中附带了相关的算例,从而能够使每一位使用者在学习的同时积累相关的经验。本教程大致分以下四个部分:第一部分包括介绍信息、用户界面信息、文件输入输出、单位系统、网格、边界条件以及物理特性。第二和第三部分包含物理模型,解以及网格适应的信息。第四部分包括界面的生成、后处理、图形报告、并行处理、自定义函数以及FLUEN所使用的流场函数与变量的定义。下面是各章的简略概括第一部分: z 开始使用:本章描述了FLUEN的计算能力以及它与其它程序的接口。介绍了如何对具体的应用选择适当的解形式,并且概述了问题解决的大致步骤。在本章中,我们给出 了一个可以在你自己计算机上运行的简单的算例。 z 使用界面:本章描述了用户界面、文本界面以及在线帮助的使用方法。同时也提供了远程处理与批处理的一些方法。(请参考关于特定的文本界面命令的在线帮助) z 读写文件:本章描述了FLUENT以读写的文件以及硬拷贝文件。 z单位系统:本章描述了如何使用FLUENTS提供的标准与自定义单位系统。 z 读和操纵网格:本章描述了各种各样的计算网格来源,并解释了如何获取关于网格的诊 断信息,以及通过尺度化(scale、分区(partition等方法对网格的修改。本章还描述了非一致 (nonconform网格的使用. z 边界条件:本章描述了FLUENT提供的各种类型边界条件,如何使用它们,如何定义它们and how to define boundary profiles and volumetric sources. z 物理特性:本章描述了如何定义流体的物理特性与方程。FLUENTS用这些信息来处理你的输入信息。 第二部分: z 基本物理模型:本章描述了FLUENT算流体流动和热传导所使用的物理模型(包括自然对流、周期流、热传导、swirling旋转流、可压流、无粘流以及时间相关流)。以 及在使用这些模型时你需要输入的数据,本章也包含了自定义标量的信息。 z 湍流模型:本章描述了FLUENT湍流模型以及使用条件。 z 辐射模型:本章描述了FLUENT热辐射模型以及使用条件。 z 化学组分输运和反应流:本章描述了化学组分输运和反应流的模型及其使用方法。本章详细的叙述了prePD 的使用方法。 z 污染形成模型:本章描述了NO和烟尘的形成的模型,以及这些模型的使用方法。 第三部分:

Encounter使用入门教程

Encounter使用入门教程 本教程介绍一下自动布局布线工具Encounter的使用知识,开始以一个简单的十进制计数器版图的自动实现为例子,之后介绍包含block模块的复杂的版图自动实现。 在Designer Compiler使用入门教程中,笔者设计了一个十进制计数器,并经过Design Compiler对其进行综合后获得了门级综合网表文件counter.sv以及约束文件counter.sdc,根据这两个文件,我们就可以使用SOC Encounter实现十进制计数器的物理版图设计了。首先,我们要准备使用Encounter进行版图自动设计时所需要的数据: 时序库文件:fast.lib,slow.lib,tpz973gwc.lib,tpz973gbc.lib 物理库文件:tsmc18_6lm_cic.lef,tpz973g_5lm_cic.lef,tsmc18_6lm_antenna_cic.lef 门级网表文件:pad_counter.sv 时序约束文件:pad_counter.sdc IO位置放置文件:pad_counter.io //在设计导入Encounter中指定PAD的放置位置文件,不是必须文件 还有其它一些文件在后面用到时进行介绍。 一、网表中添加PAD、编写IO Assignment File 这里,pad_counter.sv是加入PAD后综合得到的门级网表。工程项目中设计制作完成后的芯片要进行封装,PAD就是芯片在封装时连接封装引线的地方。一般信号输入/输出PAD即I/O PAD要在综合前添加进入网表中,电源电压PAD 可以在综合时添加也可以在综合后添加。接下来就先介绍一下如何在网表中加入PAD,其实给网表加入PAD就是一般的module例化,和Verilog中一般的module 模块例化是一样的。 这里介绍在综合时给设计中加入I/O PAD。十进制计数器的Verilog源程序如下:module Cnt10(reset_n,clk,in_ena,cnt,carry_ena); input clk; input reset_n; input in_ena; output [3:0] cnt; output carry_ena; reg [3:0] cnt; reg carry_ena; always @(posedge clk or negedge reset_n) begin if(!reset_n) cnt<=4'b0; else if(in_ena && cnt==4'd10)

DC使用全书(Design Compiler)

DC学习----第一章基本概念 作者:未知时间:2010-08-15 15:02:50 来自:网络转载 1.1 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: 1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。 下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。 例1-1(一个DC启动文件): search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” } search_path= search_path + { “~/risc32/synthesis/libraries” } target_library={ tcb773stc.db } synthetic_library={dw_foundation.sldb} link_library = { “*”, dw_foundation.sldb, tcb773stc.db } symbol_library = { tcb773s.sdb } synlib_wait_for_design_license = {"DesignWare-Foundation"} alias rt “report_timing” designer= XXXXX company= “ASIC Lab, Fudan Univ.” search_path指定了综合工具的搜索路径。 target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。 synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。 link_library是链接库,它是DC在解释综合后网表时用来参考的库。一般情况下,它和目标库相同;当使用综合库时,需要将该综合库加入链接库列表中。 symbol_library为指定的符号库。 synlib_wait_for_design_license用来获得DesignWare-Foudation的许可(license)。 alias语句与UNIX相似,它定义了命令的简称。 最后的designer和company项则表明了设计者和所在公司。 另外,在启动文件中用符号“/*” 和“*/” 进行注释。 1.2 设计实体 在DC中,总共有8种设计实体: λ设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层的子设计。 单元(Cell):设计中包含的子设计的实例。λ λ参考(Reference):单元的参考对象,即单元是参考的实例。 端口(Port):设计的基本输入输出口。λ λ管脚(Pin):单元的输入输出口。 连线(Net):端口间及管脚间的互连信号。λ λ时钟(Clock):作为时钟信号源的管脚或端口。

dc使用

DC使用说明 文件说明:在进行下面的演示时需要用到两个文件,一个是example1.v,它是描述一个电路的verilog代码,我们的目标就是用DC综合这个代码得到满足约束条件的电路网表;另一个是dc.scr,它是综合example1.v的脚本文件。这两个文件都在/home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。DC既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。 一、DC图形界面的使用。 1.DC图形界面的启动 1.1 打开一个终端窗口,写入命令dv –db_mode,敲入回车。 则DC图形界面启动,如下图所示

红框处是DC的命令输入框,以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。 选择Help----- Man Pages可以查看DC的联机帮助。相应指令:man。例:man man表示 查看man命令的帮助。man create_clock表示查看creat_clock命令的帮助。

2.设置库文件 选择File---- Setup 需要设置以下库文件,如下图。 相应指令: set search_path [list /tools/lib/smic25/feview_s/version1/STD/Synopsys \ /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys] set target_library { smic25_tt.db } set link_library { smic25_tt.db } set symbol_library { smic25.sdb }

Fluent UDF教程

UDF中文教程

目录 第一章. 介绍 (4) 1.1什么是UDF? (4) 1.2为什么要使用UDF? (4) 1.3 UDF的局限 (5) 1.4Fluent5到Fluent6UDF的变化 (5) 1.5 UDF基础 (6) 1.6 解释和编译UDF的比较 (8) 1.7一个step-by-stepUDF例子 (9) 第二章.UDF的C语言基础 (16) 2.1引言 (16) 2.2注释你的C代码 (17) 2.3FLUENT的C数据类型 (17) 2.4常数 (17) 2.5变量 (17) 2.6自定义数据类型 (20) 2.7强制转换 (20) 2.8函数 (20) 2.9 数组 (20) 2.10指针 (21) 2.11 控制语句 (22) 2.12常用的C运算符 (24) 2.13 C库函数 (24) 2.14 用#define实现宏置换 (26) 2.15 用#include实现文件包含 (27) 2.16 与FORTRAN 的比较 (27) UDF 第3章写UDF (27) 3.1概述(Introduction) (28) 3.2写解释式UDF的限制 (28) 3.3 FLUENT求解过程中UDF的先后顺序 (29) 3.4 FLUENT 网格拓扑 (31) 3.5 FLUENT数据类型 (32) 3.6 使用DEFINE Macros定义你的UDF (33) 3.7在你的UDF源文件中包含udf.h文件 (34) 3.8在你的函数中定义变量 (34) 3.9函数体(Functin Body) (35) 3.10 UDF任务(UDF Tasks) (35) 3.11为多相流应用写UDF (41) 3.12在并行下使用你的UDF (50) 第四章DEFINE宏 (51) 4.1 概述 (51) 4.2 通用解算器DEFINE宏 (52) 4.3 模型指定DEFINE宏 (61)

相关文档