文档库 最新最全的文档下载
当前位置:文档库 › 数字心率计

数字心率计

数字心率计
数字心率计

西南交通大学

年短学期

电子课程设计报告

目录

一、课题要求

二、方案论证与设计

三、系统原理框图

四、主要电路以及参数计算

五、调试步骤

六、测试数据及实验结果

七、结论

八、学习心得

九、本作品使用说明

十、附录

一、课题要求

基本要求:

实时数字显示心率值(两位半)

能够有报警信号显示

1)正常

2)过快

3)过慢

提高要求:

可显示平均心率

心率不齐时有报警信号

二、方案论证与设计

(1)模拟部分

●采集信号

用红外反射ST188传感器采集血液流动信号,当血液流动时,由于血液的各项参数指标变化,那么传感器接收到的电流信号就会发生变化,从而从传感器输出的电信号也会发生不同的变化,由于接收到的信号很微弱,数量级在毫伏级别,且同时信号具有低频率,低幅值和干扰性非常强的特点,所以我们对采集到的信号进行放大才能被检测到,从而实现心率的测量与计算。因此模拟部分电路分为三个模块,放大,滤波,整形。

●放大

据资料显示,ST188采集到的人体的心率信号所能转化的电流大概在0.05mV-5mV左右,由于采集到的信号是毫伏级别的,而后面要进行整形的输入

信号要达到3V以上,所以对电路的放大倍数的设置是非常重要的。那么在开始阶段我们借助模拟电子技术的一些资料来设计电路,然后通过软件进行仿真,但是由于实验室无法满足每个电路元件参数的要求,所以我们在实际电路中统一使用了老师给定的电路。用两个放大电路来实现需要的放大,,每个子电路的放大

10,采用的电子电路元件参数为:①680K和2.7K;②680K和2.7倍数都约为2

K。

滤波

电源供电时会对电路产生50HZ的工频,且我们采用的是红外线传感器,易受外界影响,这些都会会影响模拟信号的采集和计算,所以需要把这50HZ的工频滤掉且尽量减小外界信号的干扰。由于人的心率频率大概为3HZ左右,所以我们设置的滤波电路的截止频率为0.23-2.3Hz,由此计算得到高通滤波电路的设计为:①10uF和68K(0.23Hz);低通滤波电路的设计为:①0.1uF和680K (2.3Hz);。其次我们选择一阶滤波电路与放大电路合并在一个电路中,高通滤波电路和低通滤波电路一起组成一个带通电路。综合以上两点,我们得出电路的基本雏形,电路由两个相同的,且同时具有带通滤波和放大功能的子电路串联而成。

整形

为了方便计算心率,我们将放大滤波之后的信号进行整形使其成为矩形波,用到的是施密特反向器74HC14芯片。使用74HC14芯片,这个电路相较于迟滞比较电路来说,它的优点也是十分明显的,我们不需要复杂的计算,也不用推测我们所采集到的信号的波形来确定电路的门限阀值。只需要将施密特反向电路这个子电路接在放大滤波电路后,来帮助我们确定放大倍数即可。

(2)数字部分

简要思路:将模拟部分电路采集到的模拟信号作为外界输入,并测试一个脉冲周期所用的时间,而测试时间时就用标准周期的时间来进行计数。通过已知的时钟频率来计算在一分钟内的心跳次数。通过一系列的转换得到心率值,最终通过数码管译码显示出来。因为板子自带的时钟频率为50MHZ,对其进行分频得到1KHz的时钟频率作为基准信号,以此来计算心率。并且用三个发光二极管来代表不同的心率范围。红色表示心率过高,绿色表示正常,而黄色表示心率过慢,另外放置一个发光二极管表示心率不及,当相邻两次心率值相差过大时,则该灯亮。不管心率过慢还是过快蜂鸣器都会报警。另外设置两个开关,一个当开关按下时复位,另外一个表示显示平均心率,本设计取16次的心率计算平均心率。

三、系统原理框图

a.方案原理介绍

因为脉搏输入信号比较弱,大约在0.05mV-5mV左右,首先经过同向比例放大电路放大一万多倍,得到3V左右的信号,又由于50赫兹的工频干扰,信号经过带通约为0.23Hz-2.3Hz、放大倍数约为256倍左右的滤波放大子电路串联而成。然后将得到的信号经过74HC14的反向处理,并进行降压,就得到我们所需要的方波信号。

芯片自带50MHz的时钟信号,可以通过编写一个Verilog HDL程序模块将其中50MHz 的频率分频得到1kHz的基准信号,每当脉搏信号到来一个上升沿时开始计数基准时钟的个数,再来一个上升沿时计数清零,如此循环。在一个心跳周期内,对标准时钟信号进行计数,再通过转换得到心率值。假定时钟信号的频率为f,在一个心跳周期内对时钟信号的高电平计数为N,那么一次脉搏的持续时间T=N/f,从而瞬时心率值为60/T=60*f/N。并且将心率值与上下线值60和120进行比较,实现报警功能(慢、正常、快、心律不齐)。同时由一开关控制平均值的测量,开关按下,通过使用中间16次变量求和,另外一个开关可以实现复位功能。

b.系统原理框图

四、主要电路设计与参数计算

(1)数字部分

基于FPGA设计,将整个系统分为若干个子模块,各子模块利用Verilog HDL语言准确描述,在Quartus ii环境下对每个底层模块进

行编译、仿真成功后,再将各个模块连接起来行程顶层,最终的整个数

字系统顶层模块设计如下图所示。

1、分频器

对芯片自带的50Mhz的频率进行分频,分出来基准频率为1kHz。既满足要求,又能达到较好的精度要求。

源代码:

module fenpin(reset,clk_50M,clk_1K);

input clk_50M,reset;

output clk_1K;

reg clk_1K;

reg [14:0] cnt1;

reg [17:0] cnt2;

always@(posedge clk_50M)

if(reset)

begin

clk_1K <= 0;cnt1 <= 15'd0;

end

else

begin

if (cnt1 >= 15'd25000)

begin

clk_1K <= ~clk_1K;cnt1 <= 15'd0;

end

else cnt1 <= cnt1+1;

end

endmodule

2、计数部分:对经过一系列放大滤波整形过的矩形波信号进行计数,以便后来计算心率

源代码:

module jishu(heart,clk,counts);

input heart,clk;

output [11:0]counts;

reg [11:0]counts;

reg heart_pre;

always@(posedge clk)

heart_pre<=heart;

always@(posedge clk)

begin

if((heart_pre==0)&&(heart==1))

counts<=1;

else counts<=counts+1'b1;

end

endmodule

3、计算部分

用除法运算计算出心率值,并且转换计算输出的个、十、百位的值。源代码:

module jisuan(heart,count,SS_zhi);

input[11:0] count;

input heart;

output [8:0] SS_zhi;

reg [8:0] SS_zhi;

always @(posedge heart)

begin

SS_zhi = 60000/count;

end

endmodule

4、平均心率的实现

源代码:

module pingjun(SS_zhi,shineng_AVE,heart,clk,AVE_zhi);

input heart,clk,shineng_AVE;

input [8:0]SS_zhi;

output[8:0]AVE_zhi;

reg[8:0]AVE_zhi,add;

reg heart_pre;

integer i=-1;

always@(posedge clk)

heart_pre<=heart;

always@(posedge clk)

begin

if(shineng_AVE)

if((heart_pre == 0)&&(heart == 1))

i=i+1;

if(i<=16)

begin AVE_zhi<=SS_zhi;add<=add+AVE_zhi;end

else

AVE_zhi = add>>4;

end

endmodule

5、判断输出平均心率还是瞬时心率

源代码:

input heart,shineng_AVE;

input [8:0]SS_zhi,AVE_zhi;

output [8:0]zhi;

reg [8:0]zhi;

always @(posedge heart)

begin

if(shineng_AVE==0)

zhi<=SS_zhi;

if(shineng_AVE==1)

zhi<=AVE_zhi;

end

endmodule

6、译码部分的实现代码

源代码:

module yima(zhi,codeout_bai,codeout_shi,codeout_ge); input [8:0] zhi;

output [6:0] codeout_bai,codeout_shi,codeout_ge;

reg [6:0] codeout_bai,codeout_shi,codeout_ge;

reg [3:0] ge,shi,bai;

reg heart_pre;

always @(zhi)

begin

bai = zhi/100;

shi = zhi%100/10;

ge = zhi%100%10;

case(bai)

4'd0: codeout_bai = 7'b1111110;

4'd1: codeout_bai = 7'b0110000;

default: codeout_bai = 7'b0;

endcase

case(shi)

4'd0: codeout_shi = 7'b1111110;

4'd1: codeout_shi = 7'b0110000;

4'd2: codeout_shi = 7'b1101101;

4'd3: codeout_shi = 7'b1111001;

4'd4: codeout_shi = 7'b0110011;

4'd5: codeout_shi = 7'b1011011;

4'd6: codeout_shi = 7'b1011111;

4'd7: codeout_shi = 7'b1110000;

4'd8: codeout_shi = 7'b1111111;

4'd9: codeout_shi = 7'b1111011;

default: codeout_shi = 7'b0;

endcase

case(ge)

4'd0: codeout_ge = 7'b1111110;

4'd1: codeout_ge = 7'b0110000;

4'd2: codeout_ge = 7'b1101101;

4'd3: codeout_ge = 7'b1111001;

4'd4: codeout_ge = 7'b0110011;

4'd5: codeout_ge = 7'b1011011;

4'd6: codeout_ge = 7'b1011111;

4'd7: codeout_ge = 7'b1110000;

4'd8: codeout_ge = 7'b1111111;

4'd9: codeout_ge = 7'b1111011;

default: codeout_ge = 7'b0;

endcase

end

endmodule

7、报警

通过与设定的值进行比较控制LED灯亮灯灭和蜂鸣器的发声。

源代码:

module baojing(zhi,R,G,Y,Sound);

input [8:0] zhi;

output G,R,Y,Sound;

reg G;

reg Y;

reg R;

reg Sound;

always@(zhi) begin

begin

if(zhi>120)

begin

R<=1'b1;Y<=1'b0;G<=1'b0;Sound<=1'b1;

end

else if(zhi<60)

begin

R<=1'b0;Y<=1'b1;G<=1'b0;Sound<=1'b1;

end

else

begin

R<=1'b0;Y<=1'b0;G<=1'b1;Sound<=1'b0;

end

end

end

endmodule

8、动态扫描显示时数码管片选信号的实现

源代码:

module pianxuan(clk,codeout_h,codeout_t,codeout_u,codeout,shineng); input [6:0]codeout_h,codeout_t,codeout_u;

input clk;

output [6:0]codeout;

output [3:0] shineng;

reg [6:0]codeout;

reg [3:0] shineng;

reg [1:0]i;

always@(posedge clk)

begin

if(i==2'd3)

i<=2'd0;

else

i<=i+2'd1;

case(i)

2'b00:begin shineng<=4'b0001;codeout<=codeout_u;end

2'b01:begin shineng<=4'b0010;codeout<=codeout_t;end

2'b10:begin shineng<=4'b0100;codeout<=codeout_h;end

default:shineng<=8'b00000000;

endcase

end

endmodule

仿真波形

(2) 模拟部分

a. 滤波放大整形参数计算

①放大电路:放大电路采用的是同向比例放大电路,根据电路特点,我们采用了两级放大,所选取的放大电路的参数组合为:680K 和2.7K

257

7.27.2680R R R A 886vf ≈+=+=

②滤波电路: 因为放大部分的电阻所选取的是680K ,所以一阶低通滤波电

路所选取的参数组合就为:680K 和0.1uf

Hz

3.2101.01068021

C R 21f 6

336H =????π?=π=

- 一阶高通电路所选取的参数组合就为:68K 和10uf

Hz 23.010********

C R 21f 6

319L =????π?=π=

-

总体电路图:

自定义输入信号源:

仿真波形图输入信号源波特图

输出波形曲线和整形后的矩形波曲线

频率曲线

五、测试步骤

(1)红外发射管及信号输入指示灯测试。

(2) 滤波放大整形测试。

(3)数码管显示及报警、复位和平均心率显示测试

主要过程如下:

数电部分

确定实验方案,广泛查询资料,用Verilog HDL变成实现分频,计数,报警,平均值,心律不齐以及译码的verilog编程,生成功能模块,最后连接成系统原理图,编程结束,锁定引脚,下载到EP2CT144C8,在实验箱上分别采用2Hz 固定频率信号和由PUSE时钟信号间断模拟一个信号进行输入,改变输入的脉冲频率,看数码管和LED灯的变化,结果正常显示,实现功能。

模电部分

先在multisim 9中连接原理图测试是否通过,在实际操作中,先用示波器调出大约3Hz频率正弦运动的点,然后一步一步连接电路实现放大,滤波,整形功能。

最后应用函数发生器、示波器、万用表等工具分别进行仿真测试,直到结果正常。实验箱上的综合实验结果:

数码管以及相应指示灯显示正常:

六、测试数据及实验结果

(1) 遮住红外发射管,红色LED指示灯灭,红外发射管输出电压接近0V;

放开红外发射管,红色LED指示灯亮,红外发射管输出电压为4.5V。

红外管工作正常。

(2) 遮住红外发射管,经过滤波放大整形电路后的电压为4.12V;

放开红外发射管,经过滤波放大整形后的电压几乎为0V。

滤波放大电路工作正常。

(3

七、结论

a.本方案特点及存在的问题

(1)数电部分

特点:数电部分全部用Verilog语言实现,其中用到了D触发器以及除法宏模块,功很简单,容易实现,。其次,清晰的规划和结构也是非常重要的,整个数字电路的模块规划,先要实现什么,再实现什么,然后分部分将各个模块编译出来,并用软件Quartus II检测自己设计的各模块进行仿真,仿真时要分别考虑功能仿真和时序仿真,在仿真波形图里观测所编译的模块是否正确执行它该有的功能和时序。再次,就是要在一个大的工程下将所有的模块实现连接,并且统一编译,分配好引脚后下载到EP2C8T144C8芯片,再到实验箱上先检测看是否实现其功能。该数字式心率计方便地用于瞬时心率的测量和告警, 功能强, 测量准确、方便, 测量范围宽, 硬件资源占用少, 功耗低, 十分适用生产便携式产品,同时由于可以软件编程重构硬件, 有利于产品的升级。

存在的问题:模块划分不尽合理,有些模块参杂了一些其它模块的功能,除法算式占用内存太多,造成资源浪费。还有就是PCB板印刷不合适,原因可能是我们设计的焊盘比较小,以及封装不太合理,虽然已经达到这次实验的要求,但是打孔的时候有几个焊盘被打掉,用焊锡填补后依然能正常工作。

(2)模拟部分

特点:整个模拟电路分为三大部分,放大、滤波、整形,各级电路设计是否达到要求,直接影响到最后的心率信号是否能被正常提取,并且相应的提示灯能否正常工作。而且在制作实际电路时的布线,特别是模拟电路对电压信号VCC的影响特别敏感,于是我们就需要尽量使VCC的电路线短,而且不能让它在电路中绕,尽量选取最短路径,而地线又恰恰相反,它可以将强信号与弱信号分开,从而减少不必要的影响。

缺点:使用的传感器是ST188它是一种通过感应红外光,并将光信号转化

为电信号的很敏感的感应器件,但是在感应它自己所发出的红外光的同时,周围环境所产生的光线(特别是光线较强的地方)它也会做出相应的反应,这样就造成的电路的干扰性非常大,其次,滤波电路的设计也没有很好的将频率信号限定在一个较小的范围内。

(3).功能扩展方案

①电部分的扩展方案是将除法运算转化为相应的存储表来实现,避免资源

不必要的浪费。

八、学习心得

生活就是这样,汗水预示着结果也见证着收获。我想说,设计确实有些辛苦,但苦中也有乐,在现在单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;

这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。在此,感谢老师的细心指导,也同样谢谢其他同学的帮助!

九、产品使用说明

使用步骤:

a.如图所示,插上电源,另一端连接电脑,系统开始工作。

b.将手指置于红外发射管上,或者用手在红外发射管上挥动,此时,数码管则会实时显示心率或者挥手频率,当该频率超过120或者少于60时,位于电路板左端的蜂鸣器则会报警,相应的指示灯报警,通过开关可实现平均心率的显示和复位。

c.使用完毕,断掉电源。

十、附录

PCB原理图设计

PCB图

元件清单

LM358引脚图

传感器参数:

成品附图:

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

数电课设报告电子脉搏计设计说明

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11)

第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门再放大方面的应用,选择了方案一。

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数字电路课程设计红外线心率计

数字电子技术课程设计报告指导老师:严国红、夏海霞 姓名: 学号: 班级:

1产品简介 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算 出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2红外线心率计工作原理 2.1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 图1红外线心率计的原理框图 2.2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、和-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如 图 3 (b)所示。IC2、IC3、IC4都为LM741。 + 12V

图5信号放大、整形电路 因为传感器送来的信号幅度只有2?5毫伏,要放大到10V左右才能作为计数器的输入脉 冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形是跟输入波形同相、且放大了的波形。放大后的波形是一个交流信号。 其中A i、A的供电方式是正负电源供电,电源为+12V、-10V。 A i、A与周围元件组成二级放大电路,放大倍数A uf为: R 4 R8 A uf 4一= 66 66 4000 R 3 R 6 由于放大后的波形是一个交流信号,而计数器需要的是单方向的直流脉冲信号。所以经 过V s检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC两阶滤波电路,滤波电路 的作用是滤除放大后的干扰信号。R、V4组成传感器工作指示电路,当传感器接收到心跳信号 时,V4就会按心跳的强度而改变亮度,因此V4正常工作时是按心跳的频率闪烁。直流脉冲信 号滤波后送入A s的同相输入端,反相输入端接一个固定的电平,A s是作为一个电压比较器来 工作的,是单电源供电。当A的3脚电压高于2脚电压的时候,6脚输出高电平;当A的3 脚电压低于2脚电压的时候,6脚输出低电平,所以A s输出一个反应心跳频率的方波信号。 ⑷门控电路 555定时器是一种将模拟电路和数字电路集成于一体的电子器件,用它可以构成单稳态 触发器、多谐振荡器和施密特触发器等多种电路。555定时器在工业控制、定时、检测、报 警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS触发器FF、比较器COMP COMP和场效应管V1组成(参见图6(a))。当555内部的COMP反相输入端(-)的输入信号V R小于其同相输入端(+)的比较电压V CO(VCO二"IV DD)时,COMP俞出高电位,置触发器FF为低电平,即Q=0;当COMP同相输入端(+)的输入信号V S大于其反相输入端(-)的比较电压VCd2(1/3V DD)时,COMP俞出高电位,置触发器FF为高电平,即Q=1。R D是直接复位端,R D=0 , Q=0 MOSf V是单稳态等定时电路时,供定时电容C对地放电

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

基于单片机的心率计设计论文

基于单片机的心率计设计 摘要 心率是指单位时间心脏搏动的次数,包含了许多重要的生理、病理信息,特别是与心脑血管相关的信息,是生物医学检测中一个重要的生理指标,也是临床常规诊断的生理指标;因此迅速准确地测量心率便显得尤为重要。随着医疗水平和人们生活水平的提高,快速、准确、便携式心率计便成为一种新的发展趋势,同时伴随着单片机技术的发展,基于单片机的便携式心率计便不失为一个好的选择。 本心率计共有三大部分,分别为:传感器部分、信号处理部分、单片机控制部分。传感器部分采用光电式传感器实现对信号采集;信号处理部分则采用放大、滤波、波形变换等方法实现信号的有效处理;而单片机部分则实现对心率的计数和显示功能。通过这三部分的有效组合初步实现对人体心率的一个有效计数。 信号采集采用光电式传感器通过对手指末端透光度的监测,实现信号的采集;信号放大则采用四运放运算放大器LM324,波形变换采用555定时器构成反向施密特触发器;单片机控制模块则采用AT89C51微处理器和相关元器件通过C语言编程实现计数和显示功能。 关键词:心率,光电式传感器,信号处理,AT89C51

DESIGN OF HEART RATE METER BASED ON MCU ABSTRACT Heart rate is refering to the number in unit time of the heart beating, contains many important physiological and pathological information, especially information associated with cardiovascular, biomedical detection an important physiological indexes, and routine clinical diagnosis of physiological indexes; so quickly and accurately measuring heart rate appears to be particularly important. With the improvement of medical level and people's living standards, rapid, accurate and portable heart rate meter has become a new trend, accompanied by the development of SCM technology, will not be regarded as a good choice of meter based on microcontroller portable heart rate. Heart rate meter consists of three parts, respectively: sensor part, signal processing part, MCU control part. Part of the sensor using photoelectric sensor achieved the signal of the signal acquisition; signal processing part uses the amplification, filtering, waveform transform method to effectively deal with; and part of SCM is to achieve counting on heart rate and display function. Through the effective combination of these three parts, an effective count of human heart rate is realized.. Signals were collected using photoelectric sensor through the monitoring of the degree of light at the end of a finger, to realize the signal acquisition; signal amplification four operational amplifier LM324 operational amplifier is used, the waveform transform the 555 timer constitute reverse Schmitt trigger; MCU control module is used AT89C51 microprocessor and related components by C language programming counting and display function.

心率计设计

附重庆大学本科学生课程设计任务书

电子心率计设计说明书 一前言 随着社会的发展与进步,我们的生活节奏也越来越快,面对每天繁忙的工作生活,我们不一定能像以前那样定期抽出时间去为自己身体做一次体检。而事实上我们身体承受的负荷却越来越大,相比于以前我们需要给自己的身体以更多的关注,甚至是时刻了解它的健康状况。身体的健康与否在很多方面都会有所体现。比如一个人的心率值就基本能反映一个人心脏是否正常工作的。大家都知道心脏是我们人体中最重要的器官之一,使我们生命的源动力。所以我们能时刻了解它的状态是很重要的。由于我们平时不一定总是能抽出时间去做体检,所以我们需要一个简单的,便于操作的,可靠性高的仪器来帮助我们在短时间内测到我们的心率值。让我们能及时了解到我们现在心脏以及身体的状态。 附心率的生理意义 人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

江西理工大学应用科学学院电子心率计设计

江西理工大学应用科学学院 微机控制系统课程设计报告 题目:电子心率计设计 姓名: 学号: 专业班级:电气12X班 指导教师:李振凯 完成时间:2015年6月27日

目录 摘要 (1) 1 绪论 (2) 1.1 设计要求及设计内容 (2) 1.1.1 基本要求 (2) 1.2 此次设计研究的主要内容应解决的问题 (2) 2 设计方案介绍 (3) 2.1 各部分电路介绍 (3) 2.1.1 系统设计框图 (3) 2.1.2 信号采集电路 (3) 2.1.3 信号放大电路 (4) 2.1.4 信号比较电路 (4) 2.1.5 LCD1602显示电路 (5) 2.1.6 键盘电路 (5) 2.2 主要器件介绍 (6) 2.2.1单片机AT89S52 (6) 2.2.2 红外传感器 (7) 2.2.3双运算放大器LM358N (8) 2.2.4 LCD1602显示模块 (9) 3 系统程序设计与仿真 (10) 3.1 程序流程图 (10) 3.1.1 主程序流程图 (10) 3.1.2 中断程序流程图 (11) 3.1.3 定时器T0和T1的中断服务程序 (11)

摘要 随着现代社会,人们对自己的健康越来越关心,因此对各种医疗设备的需要也越来越大。其中心率测量仪是最常见的医疗设备之一,它能应用于医疗、健康、体育以及我们生活中的方方面面,因此一个简单便宜而又有较高精度的心率测量仪是很有市场的。 我们无法通过直接测量来获取人的心率,但是由于人的脉搏是与心跳直接相关的。因此,我们可以通过测量脉搏来间接测量人的心率。我们小组的光电系统课程设计制作的光电心率测量仪是用光电传感器测量经手指尖反射的信号,然后经过滤波放大后送到51单片机进行信号处理并将计算所得到的心率值通过动态扫描的方式显示出来。 关键词:51单片机;光电测量;A/D采样;动态扫描显示;响铃提醒。

相关文档