文档库 最新最全的文档下载
当前位置:文档库 › EDA篮球比赛电子记分牌设计

EDA篮球比赛电子记分牌设计

EDA篮球比赛电子记分牌设计
EDA篮球比赛电子记分牌设计

长沙学院

课程设计说明书

题目篮球比赛电子记分牌设计

目录

一、课题名称 (2)

二、设计内容 (2)

三、设计思路 (2)

1、整体设计思路: (2)

2、整体设计流程: (2)

四、设计说明 (3)

1、设计程序 (3)

2、引脚分配设置: (10)

3、功能描述 (11)

五、设计心得 (11)

六、参考文献 (11)

一、课题名称

篮球比赛电子记分牌设计

二、设计内容

设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A方得分;2位数码管显示B方得分;使用LED灯作为上、下半场的标志;单次加分值包括1分、2分和3分;半场时间到输出3秒的声光提示;可进行比赛暂停、比赛加时等操作;终场时间到输出5秒的声光提示。

三、设计思路

1、整体设计思路:

根据设计内容,可将设计将分为五个模块来设计:分频计数模块、时间模块、加分模块、动态扫描显示模块、LED灯模块。

2、整体设计流程:

(1)、分频计数模块:通过分频模块,将试验箱自带的50MHZ

的频率分频得到1MZ

以及1HZ的频率

(2)、时间模块:将计时器的分钟、秒钟,通过借位的方式设

计成40分钟的倒计时时钟

(3)、加分模块:通过拨盘开关的选择,输入A、B队的比赛得分

(4)、动态扫面显示模块:通过1MHZ的频率,将时间以及

A、B队的比赛得分同时扫描显示在数码管上

(5)、LED灯模块:对上下场的显示,以及比赛结束的灯亮延长

显示

四、设计说明

1、设计程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity baseketball is

port(clk:in std_logic;

start,addtime,add1_A,add2_A,add3_A,add1_B,add2_B,add3_B:in std_logic;--A\B dui jia fen xin hao --比赛开始,A.B队加分信号

stop:in std_logic; --比赛暂停

led7s_selout:out std_logic_vector(7 downto 0); --数码管位选

led7s:out std_logic_vector(6 downto 0); --7 段数码显示管led_up:out std_logic; --上半场亮灯显示

led_down:out std_logic; --下半场亮灯显示

led_h_end:out std_logic; --半场结束延时亮灯输出

led_f_end:out std_logic); --全场结束延时亮灯输出end;

architecture one of baseketball is

constant time_m :integer:=9; --时间分位初始化

constant time_s :integer:=59; --时间秒位初始化

signal time_m0:integer range 0 to 9; --分位时间范围

signal time_s0:integer range 0 to 59; --秒位时间范围

signal cout_A,cout_B:integer range 0 to 99;-- 比分计数范围signal clk0_1,clk0_1M:std_logic; --1HZ\1MHZ 信号

signal q0_1:integer range 0 to 24999999; --1HZ 分频计数范围signal q0_1M:integer range 0 to 25; --1MHZ 分频计数范围signal half_end,full_end,add_end:std_logic;-- 上下半场结束信号signal r,a,b,c,d,e,f,g,h:integer range 0 to 9;--扫描显示加载信号signal q1:integer range 0 to 7; --动态扫描显示信号范围signal q_3:integer range 0 to 3; --s半场比赛结束延时提示signal q_5:integer range 0 to 5; --全场比赛结束延时提示signal q_t_m:integer range 0 to 1; --秒借位

signal q_t_s:integer range 0 to 1; --分借位

begin

process(clk) -- 1MHZ 频率

begin

if clk'event and clk='1' then

if q0_1M=25 then

q0_1M<=0;

clk0_1M<=not clk0_1M;

else q0_1M<=q0_1M+1;

end if;

end if;

end process;

process(clk) -- 1HZ 频率

begin

if clk'event and clk='1' then

if q0_1=24999999 then

q0_1<=0;

clk0_1<=not clk0_1;

else

q0_1<=q0_1+1;

end if;

end if;

end process;

process(clk0_1,clk) -- 时间模块

begin

if clk0_1'event and clk0_1='1' then

if start='1' then

if half_end='0' and full_end='0' then time_s0<=time_s;

led_up<='1';

if time_m0=0 and time_s0=0 then

time_m0<=time_m;

led_up<='0';

half_end<='1';

elsif time_s0=0 then

q_t_m<=1;

time_m0<=time_m0-q_t_m;

else

q_t_s<=1;

time_s0<=time_s0-q_t_s;

end if;

end if;

if half_end='1' and full_end='0' then time_s0<=time_s;

led_down<='1';

if time_m0=0 and time_s0=0 then

time_m0<=time_m;

led_down<='0';

full_end<='1';

elsif time_s0=0 then

q_t_m<=1;

time_m0<=time_m0-q_t_m;

else

q_t_s<=1;

time_s0<=time_s0-q_t_s;

end if;

end if;

end if;

if addtime='1' and full_end='1' then --比赛计时if add_end='0' then

time_s0<=time_s;

if time_m0=0 and time_s0=0 then

time_m0<=time_m;

add_end<='1';

elsif time_s0=0 then

q_t_m<=1;

time_m0<=time_m0-q_t_m;

else

q_t_s<=1;

time_s0<=time_s0-q_t_s;

end if;

end if;

end if;

if stop='1' then

half_end<='0';

full_end<='0';

add_end<='0';

end if;

end if;

end process;

process(add1_A,add2_A,add3_A) --A 对加分模块

begin

if add1_A='1' then

cout_A<=cout_A+1;

end if;

if add2_A='1' then

cout_A<=cout_A+2;

end if;

if add3_A='1' then

cout_A<=cout_A+3;

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

基于单片机的电子时钟设计报告(LCD显示)

单片机原理及应用课程设计任务书 题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 单片机原理及应用课程设计任务书

题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 基于AT89C51单片机的电子时钟设计报告

一、设计要求与目的 1)设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间。 2)、使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。3)、用3个功能键操作来设置当前时间。 4)、熟悉掌握proteus编成软件以及keil软件的使用 二、本设计原理 本设计以AT89C51单片机为核心,通过时钟程序的编写,并在LCD显示器上显示出来。该编程的核心在于定时器中断及循环往复判断是否有按键操作,并对每个按键的操作在LCD显示器上作出相应的反应。由于LCD显示器每八位对应一个字符,故把秒、分、时的个位和十位分开表示。 该课题中有三个控制开关KM1、KM2、KM3分别控制时、分、秒的调整,时间按递增的方式调整,每点一次按钮则相应的时间个位加以,且时间调整不干扰其他为调整时间的显示。 三、硬件设计原理(电路) 硬件电路原理图

篮球比赛记录表(CBA专用)

篮球比赛记录表 甲队:乙队: 比赛名称:日期:时间:主裁判员: 比赛编号:比赛地点:副裁判员:○1○2 甲队:累记分 暂停:全队犯规甲乙甲乙甲乙甲乙上半时① 1 2 3 4 ② 1 2 3 4 1 1 41 41 81 81 121 121 下半时③ 1 2 3 4 ④ 1 2 3 4 2 2 42 42 82 82 122 122 决胜期 3 3 43 43 83 83 123 123 队员号码队员姓名 号 码 上场 队员 个人犯规 4 4 44 44 84 84 124 124 1 2 3 4 5 5 5 45 45 85 85 125 125 4 6 6 46 46 86 86 126 126 5 7 7 47 47 87 87 127 127 6 8 8 48 48 88 88 128 128 7 9 9 49 49 89 89 129 129 8 10 10 50 50 90 90 130 130 9 11 11 51 51 91 91 131 131 10 12 12 52 52 92 92 132 132 11 13 13 53 53 93 93 133 133 12 14 14 54 54 94 94 134 134 13 15 15 55 55 95 95 135 135 14 16 16 56 56 96 96 136 136 15 17 17 57 57 97 97 137 137 教练员:18 18 58 58 98 98 138 138 助理教练员:19 19 59 59 99 99 139 139 乙队:20 20 60 60 100 100 140 140 暂停:全队犯规21 21 61 61 101 101 141 141 上半时① 1 2 3 4 ② 1 2 3 4 22 22 62 62 102 102 142 142 下半时③ 1 2 3 4 ④ 1 2 3 4 23 23 63 63 103 103 143 143 决胜期24 24 64 64 104 104 144 144 队员号码队员姓名 号 码 上场 队员 个人犯规25 25 65 65 105 105 145 145 1 2 3 4 5 26 26 66 66 106 106 146 146 4 27 27 67 67 107 107 147 147 5 28 28 68 68 108 108 148 148 6 29 29 69 69 109 109 149 149 7 30 30 70 70 110 110 150 150 8 31 31 71 71 111 111 151 151 9 32 32 72 72 112 112 152 152 10 33 33 73 73 113 113 153 153 11 34 34 74 74 114 114 154 154 12 35 35 75 75 115 115 155 155 13 36 36 76 76 116 116 156 156 14 37 37 77 77 117 117 157 157 15 38 38 78 78 118 118 158 158 教练员:39 39 79 79 119 119 159 159 助理教练员:40 40 80 80 120 120 160 160 记录员: 助理记录员:计时员:24″计时员:得分:第一节:甲乙 第二节:甲乙 第三节:甲乙 第四节:甲乙 加时赛:甲乙

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

电子记分牌

单片机综合实验 实验报告 学院计算机与电子信息学院 专业电子信息工程班级电信14-2班 姓名学号 实验题目电子记分牌的设计 系统环境自制开发板指导教师左敬龙 实验时间 2016年10月24日至 2016年10月28日实验报告评分:_______

电子记分牌的设计 班级:电信14-2 姓名:陈文广 摘要: 本设计是采用AT89C51单片机设计的一个用于比赛的计时计分器。本设计采用定时器T1中断进行计时,显示部分分为计分显示和计秒显示两部分,均采用数码管显示器显示,用于显示整个比赛赛程的比赛时间和两队的比分情况。采用9个按键实现输入功能,用于赛前调节时间、比赛过程中计分、暂停和开始、比赛时间结束以后报警等功能。 关键词:AT89C51 计时计分数码管 1 课题意义 大多数比赛中都需要向观众和选手展示比赛得分情况,需要用到记分牌。在目前的市场上,普通记分牌系统都需要几百块,价格比较高。本项目设计的记分牌系统,电路简单,成本较低,灵敏可靠,操作方便,具有较高的推广价值。 本设计采用AT89C51单片机作为核心部件,整个系统结构简单、实用性强、操作简便、具有低功耗,可靠性,安全性以及低成本等特点,该设计方案完全满足篮球计分器的设计要求。现在比赛计时计分器的设计大都比较的复杂,所用芯片太多,造成整体的价格提高,而且软件设计比较的复杂。导致性价比不是很高,很难得到广泛的推广。我所设计的这个比赛计时计分器一改他们的缺点,硬件比较的简单,软件也很容易。因此,实用性比较大。 2 总体设计方案 2.1 设计思路 1)设计一个比赛记分牌,用4位LED显示比赛两方的分数(每方分数用2位LED表示)。比赛开始时显示零分。 2)每方都有得分按键和减分按键,得分按键每按一次加一分,减分按键每按一次减一分,并用数码管显示累计的分数。 扩展要求: 3)设置补时按键(例如足球比赛有补时提示)。补时时间可自己设置,时间方式为分分秒秒(即最大为59.99,最少为1秒)。 2.2 设计方案 单片机的选用AT89C51单片机,AT89C51单片机具有指令简单,易学易懂,外围电路简单,硬件设计方便,i/o口操作简单,无方向寄存器,资源丰富,价格便宜、容易购买,资料丰富容易查到,程序烧写简单等优点。 软件控制利用AT89C51单片机内部的定时器/计数器进行中断定时,配合软件延时实现计时。该方案节省硬件成本,并且可综合运用定时器/计数器、中断以及程序设计的知识。 显示电路采用2片2位的数码管分别显示双方比分数和1片4位数码管显示比赛时间。 2.3 设计方框图

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告 《【篮球记分牌】篮球记分牌课程设计实验报告》篮球记分牌课程设计实验报告 XX学校电子技术课程设计报告 题目学院自动化与电气工程学院专 业班级学号学生姓名指导教师完成日期 201X年 XX月XX日 篮球记分牌 摘要 围绕设计要求对篮球记分牌进行设计。首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil 进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。调试和测试结果表明基本上都能满足此次课程设计的要求。本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。 关键词:单片机独立按键蜂鸣器 at24c02 数码管 一、设计要求

1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。 2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、 指示灯灭。 3.比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必 要时候能够关闭倒计时。 4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 二、系统设计及仿真 2.1、系统总体设计 图2-1系统结构图 思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。下面对各模块的设计进行论证。 1) 显示模块 单片机系统中,往往需要多位显示。动态显示是一种最常见的多位显示方法,应用非常广泛。所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。

篮球三对三比赛规则及记录表

三对三挑战赛规则 一、比赛场地及裁判、记录人员 1-1场地:半个标准的篮球场地(14×15米) 1-2裁判、记录人员:设2名裁判员和1名记录员。 1-3裁判人员:比赛设2名裁判员(主裁判员和副裁判员),两名裁判员对场上违反规则的行为都有权作出宣判,如发生矛盾,主裁判员是终决人员,并负责在记录表上签字。副裁判员兼管记20秒违例。 1-4记录员职责:记录员兼管计时、记分。记录两队累积的分数(包括投篮和罚球的得分)、全队及个人犯规次数、以及比赛时间并按照规则要求宣布比赛进行的时间和比分。 二、比赛时间及参赛人员 2-1 运动员人数:比赛双方可报名5人,上场比赛队员为三人。2-2 比赛时间:分上下两节,每节15分钟,上节与下节之间休息5分钟。比赛进行到8分钟和13分钟时,记录员各宣布一次时间。 2-3进攻时间:每队每次进攻时间为20秒,20秒内球未接触篮框为违例,交换发球权;20秒内球接触到篮框后从新计算计进攻时间。 2-4暂停:每节比赛双方可请求暂停1次暂停时间为20秒 2-5停表条件:比赛中除在罚球、暂停、球员受伤及比赛结束等情况下停止计时表外,其余情况均不停表。

三、比赛开始及发球 3-1比赛开始:双方以掷硬币的形式决定发球权,然后在发球区掷界外球开始比赛;上节获发球权的队,下下不再获发球权,由对方队在发球区掷界外球开始比赛。 3-2 发球区:中圈不在场地中的半圆叫做发球区,发球区的地面(包括线)算界外。 3-3 发球:在发球区掷界外球算做发球,无底线及边线发球。 四、人员替换 4-1参赛人员可随时在记录员处要求替换场上队员,记录员在记录后,死球情况下吹哨提示裁判员有人员替换,裁判员做出允许替换手势后,场上队员与替补队员进行替换,裁判员检查场上人员数量准确后,开始比赛。 4-2出现队员受伤流血须立即替换受伤队员进行处理后经裁判员检查无妨碍时方可允许作为替换队员。 4-3、罚出场的队员任何情况下不得再重新替换上场。暂停比赛时可随时替换人员,但需向记录员报告。 五、攻守转换 5-1、每次投篮命中后都由对方从发球区发球开始比赛。 5-2、有交换球权的情况,如违例、犯规(非得分犯规或未到罚球次数)、界外球均从发球区发球开始比赛,发球队员必须将球掷给裁判员。

简单篮球比赛计分器设计

目录 引言............................................................................................................................ I 1 设计意义与要求 (1) 1.1 设计意义 (1) 1.2 设计要求 (1) 2系统总体方案及硬件设计 (2) 2.1 AT89C51简介 (2) 2.2 数码管显示原理 (2) 2.3 系统总体方案 (3) 3 编程设计 (4) 3.1 定时/计数器 (4) 3.2 程序流程图 (4) 3.3 程序分析 (6) 4 Proteus软件仿真 (7) 4.1 Proteus仿真软件简介 (7) 4.2 仿真过程 (7) 5 调试分析 (10) 6 心得体会 (11) 致谢 (12) 参考文献 (13) 附录一程序清单 (14) 附录二电路原理图 (16)

篮球比赛计分器设计 引言 本系统是采用单片机AT89C51作为本设计的核心元件,利用7段共阳LED作为显示器件。在此设计中共接入了2个7段共阳LED显示器,用于记录该队的分数,2个LED 显示器显示范围可达到0~99分,基本满足赛程需要。当比赛队得1分时,按下S1键加1分,得2分时按S2键加2分,得3分时按下S3键加3分。如分数计错需减分时,每按一次S4键减1分。 采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:AT89C51 LED显示定时/计数器

数电课设篮球计分板

沈阳航空航天大学 课程设计 (说明书) 篮球计分牌的设计 班级。 学号。 学生姓名。 指导教师。

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目篮球记分牌设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个篮球记分牌电路,技术指标如下: ①得分有1分,2分,3分的情况,电路具有加分、减分和显示比分功能,比分显示 用两位数码管。 ②带有24S进攻违例倒计时功能,可以进行暂停以及重新计时功能。 ③如果进攻超时,喇叭发出两秒报警声音。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年12 月30 日

一、概述 篮球记分牌用于对篮球比赛的比赛双方实时记分。基于篮球比赛的特点,我们选取了专门的设计方案,用2个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 本文的设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了篮球记分牌设计,设计分为三个模块,分别是比分记分模块、24S计时模块、蜂鸣器2S倒计时模块。其中比分记分模块分为1分、2分、3分加减分设置,通过计数器得到不同的波形,通过74LS192进行加减分;24S倒计时和2S 蜂鸣器倒计时则是通过时钟脉冲采用的以555定时器构成的多谐振荡电路产生,定时电路是以74LS192为主要芯片构成的,辅以数码管和蜂鸣器构成警报与计时器功能,使比赛更趋于公平化。 本次设计的内容可以完成篮球比赛的记分功能:可以分别对两队进行记分包括加分和以防裁判判别失误的减分功能还可以进行记分清零,以便于进入下一场比赛。除了记分功能还包括24S倒计时功能:真切的还原比赛场景,24S进攻违例设置,每当一队进球得分后自动清零从24S重新计时。再24S基础上外加到时间后的2S警报功能:当计时为0时,报警器会响2S停止。 图1 篮球记分牌原理图

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

基于Multisim的数字电子时钟设计报告

大学大数据与信息工程学院 基于Multisim的数字电子时钟设计报告 学院:大数据与信息工程学院 专业:电子科学与技术 班级:151 学号:1500890151 学生:宋磊 指导教师:郭祥 2017年7月20日

目录 一、设计目的与要求 (1) 1.1设计目的 (1) 1.2设计要求 (1) 二、基本元器件的选择与原理 (1) 2.1 555定时器 (1) 2.2 74LS390D计数器 (2) 2.2.1 分、秒位实现六十进制 (3) 2.2.2 小时位实现二十四进制 (3) 2.2.3 星期位实现七进制 (4) 2.3 显示器 (5) 2.4 其他元器件 (6) 三、虚拟实验平台与仿真 (6) 3.1 手动校准功能的实现 (6) 3.2 整点报时功能的实现 (6) 3.3 设计从设计从220V交流~6V直流 (7) 3.4 数字电子时钟功能的实现 (7) 附录设计总结与心得体会 (9)

一、设计目的与要求 1.1设计目的 用中、小规模集成电路设计日、时、分、秒的电子钟。 1.2设计要求 1)用555定时器产生1Hz秒信号; 2)秒、分为00~59六十进制; 3)时为00~23二十四进制; 4)星期为1~7七进制; 5)日、时、分可手动校准; 6)具有整点报时功能; 7)设计从220V交流~6V直流。 二、基本元器件的选择与原理 2.1 555定时器 单稳态触发器和施密特触发器主要用于脉冲的整形,多谐振荡器则用于产生脉冲信号。而利用555集成定时器,可以方便地构成施密特触发器、单稳态触发器和多谐振荡器,并且带负载能力较强。

此次数字电子钟的计数脉冲则由多谐振荡器提供。脉冲频率取决于555定时器电路。 在Multisim13下构建多谐振荡器,如图2.1: 图2.1 振荡频率:f=1.43/[(R9+2R10)C1] 振荡周期:T=1/f 2.2 74LS390D计数器 计数器——用于统计输入脉冲CP个数的电路。 本次设计统一采用74LS390D计数芯片,74LS390D是一种双四位十进制计数器。其功能表如表2.1所示。 表2.1 BCD计数顺序

数字电路记分牌

《数字逻辑电路》实训报告 专业:通信工程 学生姓名: 学号:龙舟 指导教师:于新业、梁桂英、马姝靓 年月日

实训题目:电子记分牌 1 整机设计 1.1 设计要求 1.1.1 设计任务 制作一个简易电子记分牌 1.1.2 性能指标要求 (1) 设计一个比赛记分牌,用2位LED 显示比赛一方的分数 (2) 有得分按键,每按键一次加一分,并用数码管显示累计的分数 (3) 当累计分数超过9而进位时,亮进位指示灯。 (4) 扩展要求:完成电子记分牌一键加2分功能,甚至是加三分。 1.2 整机实现的基本原理及框图 1.2.1 基本原理 基本原理:此时在单稳态电路(用555芯片)中利用按键开关产生触发信号(无输入触发信号作用时,电路保持不变),在VI 端输入触发信号的作用下(下降沿触发),电路由稳态转换为暂稳态,VO 端产生由一高电平。再输入到计数电路(用74LS192芯片),利用开关实现该电路的加数、减数和复位功能,并输出,最后通过译码显示电路(用74LS48芯片、数码管)译码,接入数码管显示。 1.2.2总体框图 按键 2 各功能电路实现原理及电路设计 2.1 NE555定时器构成的单稳态电路 (1) NE555的基本资料: NE555是一种应用特别广泛作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。NE555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。NE555时基电路有两种封装形式有,一是dip 双列直插8脚封装,另一种是sop-8小型(smd )封装形式。其他HA17555、LM555、CA555分属不同的公司生产的产品。内部结构和工作原理都相同。 (2) NE555的引脚图 单稳 电路 计数器 译码驱 动电路 显示器

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

设计报告可调电子时钟

《单片机实训》设计报告 题目:可调电子时钟的设计 学院: 专业: 班级: 姓名: 学号: 指导老师:

一、实验内容 利用CPU的定时器和实验仪上提供的数码显示电路,设计一个可调电子时钟。显示格式如下:XX XX XX ,由左向右分别为:时、分、秒。同时还可以通过键盘(开关)对电子时钟的时间进行加减,达到可调。 二、实验内目的 1、掌握定时器的使用和编程方法; 2、掌握中断处理程序的编程方法; 3、掌握数码显示电路的驱动程序编程方法; 4、掌握键盘电路的程序编程方法; 5、掌握模块子程序的编程方法; 6、掌握硬件的线路的设计及连线方法。 三、实验说明 设计定时器每50ms中断一次,在中断服务程序中,对中断次数进行计数,50ms 计数20次,就是1秒,然后再对秒计数得到分的值,对分计数得到小时的值,分别将各值送到相应的段地址端口和位地址端口,通过数码管显示结果,达到电子时钟的效果。在电子时钟的基础上,程序增加了键盘程序,对电子时钟进行可调(对秒/分/时进行加/减,此功能的增加使电子时钟功能更强、更实用。 四、硬件电路设计原理图 图1 总电路图 R3 图2 键盘控制电路

图3 显示部分电路图 五、软件设计 (一) 程序设计框图

(二) LED数码管字型表

(三)实验程序设计 步骤1、用定时器编写延迟子程序; 2、编写BCD码转换子程序; 3、编写学号显示子程序; 4、编写从0~9秒用数码管显示子程序; 5、据时分秒的要求,编写定时器0中断服务子程序; 6、结合以上子程序,与主程序相组合成电子时钟程序; 7、编写键盘控制加子程序; 8、编写键盘控制减子程序; 9、组合以上子程序,与主程序相组合成可调电子时钟程序; ORG 0000H ;程序入口 AJMP START ;指向主程序 ORG 000BH ;定时器中断入口 AJMP INT1 ;指向中断服务程序 ; INT1: MOV TH0,#9EH MOV TL0,#58H DJNZ R7,EXITINT ;20次未到继续记数 MOV R7,#20 INC 20H MOV R2,20H CJNE R2,#60,EXITINT ;60秒未到继续记数 MOV 20H,#0 INC 21H MOV R2,21H CJNE R2,#60,EXITINT ;60分未到继续记数 MOV 21H,#0 INC 22H MOV R2,22H CJNE R2,#24,EXITINT ;24小时未到继续记数 MOV 22H,#0 EXITINT:RETI START: MOV 20H,#0 MOV 21H,#0 MOV 22H,#0 MOV R7,#20 MOV TMOD,#11H ;设定时器0和1均为方式1 MOV TH0,#9EH ;置定时器0初值为250 ms MOV TL0,#58H MOV TH1,#3CH ;置50ms计数循环初值(定时器1) MOV TL1,#0B0H SETB EA ;CPU开中断

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

————————————————————————————————作者:————————————————————————————————日期:

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生姓名 **** 学生学号 ************ 指导教师 **** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器姓名**** 学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 张毅刚.单片机原理及应用[M].北京:高等教育出版社,2004. [2] 刘润华,刘立山.模拟电子技术[J].山东:石油大学出版社,2003. [3] 周润景,张丽娜等.PROTEUS入门教程[M].北京:机械工业出版社,2007. [4] 尹仕.电工电子制作基础[M].武汉:华中科技大学出版社,2008. [5] 彭介华.电子技术课程设计指导[J].北京:高等教育出版社,1997. 完成期限 指导教师 专业负责人****** 201*年*月** 日

相关文档
相关文档 最新文档