文档库 最新最全的文档下载
当前位置:文档库 › EDA流水灯设计报告

EDA流水灯设计报告

EDA流水灯设计报告
EDA流水灯设计报告

:EDA流水灯设计报告

姓名:余帅

学号:2011128076

专业:电子信息工程

年级:11电工

一.实验目的

1掌握EDA编程的基本步骤

2学会用EDA编写简单的程序

3熟悉EDA实验箱的使用方法

二.实验程序设计

流水灯的源程序:

module ysLED(input clk, input reset,input[1:0] mod, output reg[15:0] led,input ting);//定义输入输出);

reg [31:0]counter; //计数器

always @(posedge clk or negedge reset)

begin

if(!reset)

begin

counter <= 0;//归零

end

else if(counter == 20)

begin

counter <= 0;

end

else

counter <= counter + 1;//循环计数

end

always @(posedge clk)

begin

if(!reset)

begin

led <= 16'b0000000000000001;//置初值

end

else if(ting==1'b1)

begin

if(counter==20)

begin

case(mod)

2'b00:led<={led[0],led[15:1]};

2'b01:led<={led[14:0],led[15]};

2'b10:begin

led=led|16'b0000000110000000;

led={led[14:8],1'b1,1'b1,led[7:1]};

end

2'b11:begin

led=led|16'b1000000000000001;

led={led[8],led[15:9],led[6:1],led[0],led[7]};

end

default:led<=16'b1111111111111111;

endcase

//实现循环移位。。

end

end

end

endmodule

三.实验步骤

第一步:在E盘下新建一个名为流水灯的文件夹;

第二步:新建一个名为流水灯的工程;

第三步:设计程序;

在File中选择New···新建VHDL源程序文件led.v,输入程序代码并保存,保存在上面新建的文件夹中。然后在File中选择Creat Update中的Creat Symbol,进行编译,若在编译过程中发现错误,则找出并更正,直至编译成功。(3)创建模块:在File中选择New···创建BlockDiagram模块,左键单击空白界面,出现框后,在Libraries中Project,里面为由led.v生成名为led.bsf的模块符号,选择模块放入界面后,左键单击模块,选择Generate Pins For Symbol Ports,就出现了输入和输出引脚,双击各管脚符号,进行管脚命名,与输出与输入口一致既可。最后以led命名保存该文件。 ( 4 )建立原理图文件:在File中选择New···创建BlockDiagram模块,执行File-Save as···命令,把它另存为文件名led的原理图文件,后缀名为.bdf选中后使该文件添加到刚建立的工程中去。

第四步:编译、运行、调试;

第五步:定义引脚、编译;

第六步:下载到实验箱观看运行结果;

流水灯实验报告综合二

实验名称:流水灯的实验设计与制作班级100713 学号07 姓名张凯瑜指导教师庞涛 一、实验目的: 1.增进对单片机的感性认识,加深对单片机理论方面的理解。 2.掌握单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口、串行口通讯等。 3.了解和掌握单片机应用系统的软/硬件设计过程、方法及实现,为以后设计和实现单片机应用系统打下良好基础。 二、实验原理:

原理图说明:该设计选用一块STC89C52型单片机,使用其P1口和P3口的部分。P1口作为发光二极管的控制信号输出端,P3.2作为按钮K1外部中断0的信号输入口,P3.3为按钮K2外部中断1的信息输入口,P3.4作为K3信息输入口。单片机晶振频率为11.0592MHz,方便在线下载程序调试。电源使用5v 直流电,其中R11、C6为K1键硬件消抖(但效果不太理想,所以在软件中也做了软件消抖)。 三、实验设备: 电烙铁、万用电表、斜口钳、剥线钳、镊子、电池座、导线若干,所需元件清单: 四、实验方法与步骤: (1)认识各种元件,了解各元件的功能和使用方法。 (2)根据实验原理设计实验电路图和焊接图,并多次进行修正。

(3)按照实验焊接图在洞洞板上进行焊接。 焊接时在覆铜一面进行焊接,没有覆铜的一面用来放置元件。焊接时先对MCU座定好位,焊好对角两个角,然后焊接电源电路,按键电路,再复位和晶振电路,最后焊接LED 部分。 (4)插上编写好程序的单片机,实现“流水灯”效果。 五、实验测量与记录: 功能说明:本设计一共可以显示5种花样 k1键:切换显示花样k2键:暂停显示k3键:继续显示 流水灯——正面无单片机时 流水灯——正面有单片机时

花样流水灯实验报告

黄淮学院信息工程学院 单片机原理及应用课程设计性实验报告

五、硬件电路设计 根据设计任务,首先进行系统硬件的设计。其硬件原理图由LED显示电路和单片机最小系统组成,如图所示,其中包括时钟电路采用部时钟方式,复位电路采用上电自动复位。由于单片机的I/O口的高电平驱动能力只有微安级,而灌电流可以达到3毫安以上,因此采用低电平驱动。P1、P2、P3分别控制8个led灯。 六、软件程序设计 1、软件设计思路 如果通过上图所示电路图完成实验要求,通过数组,分别同时控P0、P1、P2分别控制8个led灯,从而协调控制24个灯实现花样流水灯效果。 开始 编写数组 主循环 逐个点亮 24灯同时闪烁 逐个熄灭

P3=table1[i]; delayms(500); } shan();//全部闪烁 for(i=0;i<8;i++)//逐个熄灭{ P3=table2[i]; delayms(500); } for(i=0;i<8;i++) { P1=table3[i]; delayms(500); } for(i=0;i<8;i++) { P0=table2[i]; delayms(500); } } } void delayms (uintt) { uint x,y; for(x=t; x>0;x--) for(y=50;y>0;y--); } 七、软硬件仿真调试分析 1、仿真调试结果

图片 1 逐个点亮图片 2 24灯闪烁 图片 3 逐个熄灭 2、性能测试及结果分析 通过仿真结果发现通过上述系统可以实现实验要求,24个灯逐个点亮,24个灯全亮后,24个灯一起闪烁,闪烁5次后,然后24个灯逐个熄灭。由此证明系统满足实验要求。 八、项目总结 在本次花样流水灯试验中,使用循环程序、数组语句实现了实验要求,设计过程中遇到了很多的问题,但经过努力,最终设计出了合理的解决方案。通过此次实验,对多个led灯的控制能力进一步得到提升。 九、项目设计报告成绩 实验报告成绩: 指导教师签字: 年月日

单片机实验报告

PIC单片机原理与应用实验报告 学校: 学院: 班级: 姓名: 学号: 指导教师:

实验一I/O端口实验 一、实验目的 (1)掌握MPLAP IDE集成开发环境的基本操作。 (2)掌握单片机的I/O端口的设计方法。 (3)掌握在线调试器的使用方法。 (4)学会查阅相关数据手册。 二、实验仪器设备 (1)PC机一台; (2)MPLAP IDE开发软件一套; (3)PICkit3在线调试器一套; (4)APP009实验板一块; 三、实验要求 (1)设计发光LED灯闪烁程序,下载调试,验证功能。 (2)设计流水灯程序,或其他花样彩灯程序,下载调试,验证功能。 (3)设计按按键加1计数程序,下载调试,验证功能。 四、实验步骤 (1)连接在线调试器PICkit3、APP009实验板和计算机; (2)打开MPLAP IDE集成开发环境软件,点击Debugger>Select Tools>PICkit 3 选择调试工具; (3)点击Debugger>Settings,在Settings窗口中点击Power栏,选择由PICkit3向实验板供电; (4)完成实现发光LED灯闪烁实验; 程序代码: #include void delay(void); int main() { while(1) { TRISEbits.TRISE0 = 0; //RE0设置为输出(1输入,0输出); https://www.wendangku.net/doc/6b12363336.html,TE0 =1; //RE0=1输出高电平+5V,亮灯 delay(); //延时 https://www.wendangku.net/doc/6b12363336.html,TE0 =0; //RE0=0输出低电平0V,灭灯 delay(); //延时 } } void delay(void) { long int i; for (i=0;i<65000;i++); } 实验现象:将程序下载到实验板上,运行程序,LED闪烁,通过改变延时函数改变延时时间,进而可以改变LED闪烁的频率。

左右来回循环的流水灯实验报告

青 岛 科 技 大 学 微机原理与接口技术综合课程设计(报告) 题 目 __________________________________ 指导教师__________________________ 学生姓名__________________________ 学生学号__________________________ _______________________________ 院(部)____________________________ 专业________________班 ______年 ___月 ___日 直流电机控制综合实验 周艳平 宋雪英 01 信息科学技术学院 计算机科学与技术0961 2012 12 27

摘要 (2) 1、单片机概述 (2) 2、仿真软件介绍 (2) 3、需求分析 (3) 一、课程设计目的 (3) 二、课程设计要求 (4) 三、实验内容 (4) 1、设计任务与要求 (4) 2、系统分析 (4) 1).硬件电路设计(画出原理图、接线图) (5) 2)软件框图 (7) 3、用keil建项目流程 (8) 4、程序清单 (9) 4、系统调试 (11) 四、设计总结(结论) (12)

摘要 近年来,随着电子技术和微型计算机的发展,单片机的档次不断提高,起应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到广泛的应用、成为现代电子系统中最重要的智能化的核心部件。而AT89C51就是其中一种,它是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMO8位微处理器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。本课程设计介绍一种LED小灯控制系统的设计方法,以单片机作为主控核心,与按键、排阻、电阻、电容等较少的辅助硬件电路相结合,利用软件实现对LED灯进行控制。能够通过按键控制8个LED小灯从左到右依次点亮。 关键字:单片机、LED流水灯 1、单片机概述 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微 型计算机简称单片机,特别适用于控制领域,故又称为微控制器。 通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处 理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合, 便可成为一个单片机控制系统。 单片机经过1、2、3、3 代的发展,目前单片机正朝着高性能和多品种方向发展,它 们的CPU 功能在增强,内部资源在增多,引角的多功能化,以及低电压底功耗。 2、仿真软件介绍 (1).Keil uv3 运行Keil uv3

单片机花样流水灯设计实验报告

**大学 物理学院 单片机花样流水灯设计实验 课题:花样流水灯设计 班级: 物理 *** 姓名: *** 学号: ……………

当今时代的智能控制电子技术,给人们的生活带来了方便和舒适,而每到晚上五颜六色的霓虹灯则把我们的城市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯的方法有很多种,有传统的分立元件,由数字逻辑电路构成的控制系统和单片机智能控制系统等。本设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于MS-51的单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件组成的以AT89C51为核心,辅以简单的数码管等设备和必要的电路,设计了一款简易的流水灯电路板,并编写简单的程序,使其能够自动工作。 本设计用AT89C51单片机为核心自制一款简易的花样流水灯,并介绍了其软件编程仿真及电路焊接实现,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管

1. 单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机的基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定时/计数器及终端系统等)全部集成在一个半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多的元件数据库、标准化的仿真仪器、直观的捕获界面、简洁明了的操作、强大的分析测试、可信的测试结果, 为电子工程设计节约研发时间,节省了工程设计费用。利用Protues软件设计一款通过数码管显示计数时间的流水灯电路及Keil C软件编程后,再将两者关联则可以简单快速的进行仿真。 【实验设计目标】 设计要求以发光二极管作为发光器件,用单片机自动控制,对8个LED 灯设计至少3种流水灯显示方式,每隔20秒变换一次显示花样,计时通过一个二位七段数码管显示。

51单片机流水灯实验报告单片机实验报告流水灯

51单片机流水灯试验 一、实验目的 1.了解51单片机的引脚结构。 2.根据所学汇编语言编写代码实现LED灯的流水功能。 3.利用开发板下载hex文件后验证功能。 二、实验器材 个人电脑,80c51单片机,开发板 三、实验原理 单片机流水的实质是单片机各引脚在规定的时间逐个上电,使LED灯能逐个亮起来但过了该引脚通电的时间后便灭灯的过程,实验中使用了单片机的P2端口,对8个LED灯进行控制,要实现逐个亮灯即将P2的各端口逐一置零,中间使用时间间隔隔开各灯的亮灭。使用rl或rr a实现位的转换。 A寄存器的位经过rr a之后转换如下所示: 然后将A寄存器转换一次便送给P2即MOV P2,A便将转换后的数送到了P2口,不断循环下去,便实现了逐位置一操作。

四、实验电路图 五、通过仿真实验正确性

代码如下:ORG 0 MOV A,#00000001B LOOP:MOV P2,A RL A ACALL DELAY SJMP LOOP DELAY:MOV R1,#255 DEL2:MOV R2,#250 DEL1:DJNZ R2,DEL1

DJNZ R1,DEL2 RET End 实验结果: 六、实验参考程序 #include #define uchar unsigned char #define uint unsigned int uchar table[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};

sbit P00=P0^0; sbit P01=P0^1; void delay(uchar t) { uchar i,j; for(i=0;i

LED灯实验报告

mcs-51单片机接口技术实验 适用:电气类专业本科学生 实验报告 实验一熟悉proteus仿真模拟器,led花样表演 一、实验目的 掌握以下方法: 1.在proteus的环境下,设计硬件原理图; 2.在keilc集成环境下设计c51语言程序; 2.在proteus的环境下,将硬件原理图与软件联接仿真运行。 二、实验环境 1.个人微机,windows操作系统 2.proteus仿真模拟器 3.keilc编程 三、实验题目 基本题:使用8051的并口带动8个led发光二极管显示一种花样表演。提高题:使用一个键切换实现3种以上花样表演。 四、实验类型: 学习、模仿与简单设计型。 五、实验步骤: 0、进入isis,先选择需要的元件,然后设计电原理图,保存文件; 1、在keilc软件集成环境下编写源程序,编译工程文件; 2、将所设计的硬件原理图与目标代码程序相联接; 4、按play键,仿真运行程序。 附,可能用到的元件名称: cpu:at89c51或任一种mcs-51家族cpu; 晶振:crystal; 电容器:capacitors,选22pf 电解电容:cap-elec或genelect10u16v 复位电阻:minres10k 限流电阻:minres330r 按键:button led:led-blue/red/yellow或diode-led (一)接线图如下: (二).基础花样 (四)程序流程图 (五)c程序 #include <> #define uint unsigned int #define uchar unsigned char const tab1[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f, /*正向流水灯*/ 0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0xff,};/*反向流水灯*/ const tab2[]={0xff,0x00,0xff,0x00,0xff,0x00,}; void delay() { uint i,j; for(i=0;i<256;i++) for(j=0;j<256;j++)

流水灯控制实验报告及程序

实验三流水灯控制实验 姓名专业通信工程学号成绩 一、实验目的 1.掌握Keil C51 软件与protues软件联合仿真调试的方法; 2.掌握如何使用程序与查表等方法实现流水效果; 3.掌握按键去抖原理及处理方法。 二、实验仪器与设备 1. 微机1台 2. Keil C51集成开发环境 3. Proteus仿真软件 三、实验内容 1.用Proteus设计一流水灯控制电路。利用P1口控制8个发光二级管L1—L8。P3.3口接一按 键K1。参考电路如下图所示。其中74LS240为八反响三态缓冲器/线驱动器。 2.用中断或查询方式编写程序,每按动一次K1键,演示不同的流水效果。若用KEY表示按键的 次数,则其对应的流水效果如下: ① KEY=0: L1-L8全亮; ② KEY=1: L1-L8先全灭,然后自右向左单管点亮,如此循环; ③ KEY=2: L1-L8先全灭,然后自右向左依次点亮,如此循环; ④ KEY=3: L1-L8先全亮,然后自左向右依次熄灭,如此循环; ⑤ KEY=4: L1-L8先全灭,然后整体闪烁,如此循环; ⑥ KEY=5:自行设计效果。 以上移位及闪烁时间间隔均设置为0.3秒,按动5次按键后,再按键时,流水效果从头开始循环。 四、实验原理 1.按键去抖原理:通常按键所用的开关为机械弹性开关,当机械触点断开、闭合时,电压信号 波形如下图所示。由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定的接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动。抖动时间的长短由按键的机械特性决定,一般为5~10ms。按键抖动会引起一次按键被误读多次。为了确保CPU对键的一次闭合仅做一次处理,必须去除按键抖动。在键闭合稳定时,读取键的状态,并且必须判别;在键释放稳定后,再作处理。按键的抖动,可用硬件或软件两种方法消除。常用软件方法去抖动,即检测到按键闭合后执行一个5~10ms延时程序;让前沿抖动消失后,再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有按键按下。当检测到按键释放后,也要给5~10ms的延时,待后延抖动消失后,才能转入该键的处理程序。 2.74LS240:八反相三态缓冲器/线驱动器 引脚排列图:

单片机实验报告

南京晓庄学院电子工程学院 实验报告 课程名称:单片机系统设计与应用 姓名:森 专业:电子信息科学与技术 年级:14级 学号:05 2016年12 月1 日

实验项目列表 序号实验项目名称成绩指导教师 1 单片机仿真软件的使用 2 单片机I/O接口应用实验——流水灯 3 外部中断实验——工业顺序控制模拟 4 定时/计数器实验——矩形波 5 定时/计数器实验——计数器 6 综合实验 7 8 9 10 注: 1、实验箱端口为com6。 2、芯片选择切换到51 3、停止运行使用实验箱上的复位按钮

实验室号:___ 实验时间:成绩: 实验一仿真软件的使用 1.实验目的和要求 1)熟悉Keil C51软件界面,以及编辑、编译、运行程序的步骤; 2)掌握单片机仿真软件使用和调试的方法。 2.实验原理 Keil C51软件使用 在Keil C51集成开发环境下,建立一个工程并编辑源程序,熟悉Keil C51集成开发环境下各种菜单、命令的使用。 3.主要仪器设备(实验用的软硬件环境) 安装有Keil C51软件的PC机1台 4.操作方法与实验步骤 Keil C51软件使用 (1)建立用户文件夹 (2)建立工程 (3)建立文件并编码。输入以下源程序,并保存在项目所在的目录中 (4)把文件加入工程中 (5)编译工程。编译时观察在界面下方的“Build”页中的到编译错误信息和使用的系统资源情况等。 (6)调试。利用常用调试命令,如复位、运行、暂停、单步、单步跳过、执行完当前子程序、运行到当前行、下一状态、打开跟踪、观察跟踪、反汇编窗口、观察窗口、代码作用范围分析、1#串行窗口、内存窗口、性能分析、工具按钮等命令进行调试,观察并分析调试结果。 (7)目标代码文件的生成。运行生成相应的.HEX文件。 5.实验内容及程序 1)从DATA区地址起始地址为40H的连续10个内存单元的内容传送到XDATA区起始地址为2000H的10个内存单元中。 注意:DATA区地址起始地址为40H的连续10个内存单元必须先赋初值。 P83-5源程序 #include #define uchar unsigned char xdata unsigned char buffer1[10]_at_ 0x2000; //在xdata区定义数组变量BUF1,首地址为2000H data unsigned char buffer2[10]_at_ 0x40; //在data区定义数组变量BUF2,首地址为40H void main(void)

51单片机实训报告

“51单片机”精简开发板的组装及调试实训报告

为期一周的单片机实习已经结束了。通过此次实训,让我们掌握了单片机基本原理的基础、单片机的编程知识以及初步掌握单片机应用系统开发实用技术,了解“51”单片机精简开发板的焊接方法。同时培养我们理论与实践相结合的能力,提高分析问题和解决问题的能力,增强学生独立工作能力;培养了我们团结合作、共同探讨、共同前进的精神与严谨的科学作风。 此次实训主要有以下几个方面: 一、实训目的 1.了解“51”精简开发板的工作原理及其结构。 2.了解复杂电子产品生产制造的全过程。 3.熟练掌握电子元器件的焊接方法及技巧,训练动手能力,培养工程实践概念。4.能运用51单片机进行简单的单片机应用系统的硬件设计。 5.掌握单片机应用系统的硬件、软件调试方法 二、实验原理 流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的硬件组成的单个单片机。 它的电气性能指标:输入电压:DC4.5~6V,典型值为5V。可用干电池组供电,也可用直流稳压电源供电。 如图所示: 本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的硬件组成的单个单片机。 三、硬件组成 1、晶振电路部分 单片机系统正常工作的保证,如果振荡器不起振,系统将会不能工作;假如振荡器运行不规律,系统执行程序的时候就会出现时间上的误差,这在通信中会体现的很明显:电路将无法通信。他是由一个晶振和两个瓷片电容组成的,x1和x2分别接单片机的x1和x2,晶振的瓷片电容是没有正负的,注意两个瓷片电容相连的那端一定要接地。 2、复位端、复位电路 给单片机一个复位信号(一个一定时间的低电平)使程序从头开始执行;一般有两中复位方式:上电复位,在系统一上电时利用电容两端电压不能突变的原理给系统一个短时的低电平;手动复位,同过按钮接通低电平给系统复位,时如果手按着一直不放,系统将一直复位,不能正常。当要对晶体重置时,只要对此引脚电平提升至高电平并保持两个及其周期以上的时间便能完成系统重置的各

单片机流水彩灯课程设计

课程名称:单片机课程设计 设计题目:流水灯彩灯设计 学院:应用技术学院 专业:电子信息工程信息方向

目录 一、实训的目的 (3) 二、实训的基本要求 (3) 三、电路基本工作原理 (4) 四、组装过程及技巧 (5) 五、软件设计及程序清单 (5) 六、心得体会 (11) 一、实训的目的 通过具有一定功能和应用价值的一个具体产品的设计与制作,或

者一个实际项目的开发与应用,使学生受到工程设计、制造工艺、调试检测和撰写技术报告的系统训练,启迪我们的创新思维,培养我们分析问题和解决问题的综合能力。通过实训使我们巩固、加深和学习光电子技术的基础理论、基本知识和技能技能。使我们正确地选择和使用常用电工仪表、电子仪器及有关实验设计。使我们掌握基本电量及电子元件的测试技术、实验方法和数据的分析处理。使我们能应用已学的理论知识设计简单的应用电路,合理选择元器件构成实用的电子小系统。使我们受到基本的实验技能、系统的工程实践和撰写技术报告的初步训练。培养我们严肃认真、实事求是、独立思考、踏实细致的科学作风和创新的精神。 二、实训的基本要求 要求: 1. 该流水灯设置12个发光二极管,两个按键K1和K2。 2. 上电后,12个LED灯全亮 3. 两个按键一个用于流水灯的启动和停止,另一个用于选择流水灯的花样。 4.“流水”的花样不得少于两种,越多越好。 三、电路图及其基本工作原理 该电路由2个30PF的电容和一个晶震组成的,其中晶振接在18和19引脚。这个作用是为单片机提供一个正常的工作时钟频率

单片机40引脚接+5V的电源,20引脚接地。使用510Ω电阻和发光二极管组成12条支路,分别对应连接单片机的P1口12个。才用共阳极接法40段接上5V的外加电压,通过单片机的P1口控制输出高电平还是低电,当电压为低电平的时候,二极管发光。 电路图如下: 四、组装过程及焊接技巧 (1)材料:松香、焊锡。焊接时最常用的焊料是焊锡。松香焊剂是一种可靠的焊剂,它在电路维修和电子制作中应用广泛。将焊接的

单片机实训,流水灯,霹雳灯

单片机实训 --霹雳灯 学生姓名:陈文锋 学院:信息工程学院 班级: 12应用电子技术 学号: 2012011846 指导教师:王颖 日期: 2014 年 5 月

目录 第一章、任务书.........................................................................................第二章、总体方案设计及选择................................................................. (1) 实验原理......................................................................................... 第三章、电路设计..................................................................................... (1) 硬件设计........................................................................................ (2) 软件设计......................................................................................... (3) 电路板制作及联机调试..................................................................第四章、程序清单................................................................................... 第五章、设计心得.................................................................................... 第六章、参考资料....................................................................................第七章、附件(实物图)........................................................................

单片机流水灯实验报告

单片机流水灯实验报告 电子信息工程学系实验报告 课程名称:单片机原理及接口 实验项目名称:实验2 流水灯实验时间: xx-10-21 班级:电信092 姓名:蔡松亮学号: 910706247 一、实验目的: 进一步熟悉keil仿真软件、proteus仿真软件的使用。了解并熟悉单片机I/O口和LED灯的电路结构,学会构建简单的流水灯电路。掌握C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。 二、实验原理: MCS-51系列单片机有四组8位并行I/O口,记作P0、P1、P2和P3。每组I/O口内部都有8位数据输入缓冲器、8位数据输出锁存器及数据输出驱动等电路。四组并行I/O端口即可以按字节操作,又可以按位操作。当系统没有扩展外部器件时,I/O端口用作双向输入输

出口;当系统作外部扩展时,使用P0、P2口作系统地址和数据总线、P3口有第二功能,与MCS-51的内部功能器件配合使用。 以P1口为例,内部结构如下图所示: 图 P1口的位结构 作输出时:输出0时,将0输出到内部总线上,在写锁存器信号控制下写入锁存器,锁存器的反向输出端输出1,下面的场效应管导通,输出引脚成低电平。输出1时,下面的场效应管截止,上面的上拉电阻使输出为1。作输入时:P1端口引脚信号通过一个输入三态缓冲器接入内部总线,再读引脚信号控制下,引脚电平出现在内部总线上。 I/O口的注意事项,如果单片机内部有程序存贮器,不需要扩展外部存贮器和I/O接口,单片机的四个口均可作I/O口使用;四个口在作输入口使用时,均应先对其写“1”,以避免误读;P0口作I/O 口使 用时应外接10K的上拉电阻,其它口则可不必;P2可某几根线作地址使用时,剩下的线不能作I/O口线使用;P3口的某些口线作第二功能时,剩下的口线可以单独作I/O口线使用。

流水灯报告

流水灯变换电路设计与调试实验报告 一、任务要求: 设计一个流水灯显示电路,开关打开时,一个灯自上而 下,然后自下而上流动,开关闭合时,两个灯从两边向中间,再从中间向两边流动(所有延时都是500ms) 二、设计思路: 整个电路有最小系统、流水灯及一个开关组成,流水灯接在AT89C51芯片的P1端口,它们之间有一个74HC573芯片,开关接在P2.0端口,实现流水灯的流动。程序中,除了用了reg51.h 头文件外,因为有左右移动,所以还必须用intrins.h 头文件,接着就是宏定义,由于有开关,因此把开关定义在P2.0端口,延时程序用for 语句实现,在许多地方都用到,所以将其作为一个子程序,方便后面调用。进入主程序,首先定义要使用的变量a,i,j ,再用if 语句控制开关,if 语句条件成立,即开关打开,流水灯自上而下再自下而上,闪烁;当if 语句不成立,即开关闭合,就给P1端口赋值,让流水灯从外向里再从里向外流动,闪烁。 三、硬件设计: 1、系统框图如下 2、各模块设计及分析 最小系统图如下 最小系统 流水灯 74HC573 AT89C51 开关 P2.0 P1口

其中,还有20脚接地,40脚接电源,18、19脚接的晶振,工作频率是12MHz,与单片机相连构成一个时钟信号源;9脚接的电解电容C3,为复位端,按钮开关用作手动复位;31脚为外部程序储存器访问控制端,由于最小系统没有外接储存器,所以接高电平。 流水灯及74HC573芯片如图 74HC573是8位数据锁存器,当输入的数据消失时,在芯片的输出端,数据仍然保持; 这个概念在并行数据扩展中经常使用到。此芯片主要用于数码管、按键等的控制。流水灯用的是共阳的,且接P1端口,此端口内置上拉电阻。 SWITCH开关

流水灯实验报告

实验二流水灯 实验时间:2011-11-20 实验地点:物理楼406 一、实验目的 通过此实验进一步了解,熟悉FPGA开发软件的使用方法及Verilog.HDL,的编程方法;学习简单时序电路的设计。 二、实验原理和内容 实验内容:在实验板上实现LED1~LED8发光二极管流水灯显示 实验原理:在LED1~LED8引脚上周期性地输出流水数据,如原来输出的数据是11111100则表示点亮LED1,LED2,流水一次后,输出数据应该为11111000,而此时则应点亮LED1~LED3三个LED发光二极管,这样就可以实现LED流水灯,为了观察方便,流水速率最好在2Hz左右。在QuickSOPC核心板上有-48MHz的标准时钟源,该时钟脉冲CLOCK 与芯片的28脚相连,为了产生2Hz的时钟脉冲,在此调用了一个分频模块int_div模块,通过修改分频系数来改变输出频率,int-div模块是一个占空比为50%的任意整数分频器。 三、实验步骤 1,启动QuartusⅡ建立一个空白工程,然后命名为led-water.qpf。 2,新建Verilog HDL源程序文件ledwater.v,输入程序代码并保存,然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 3,从设计文件创建模块,由ledwater.v生成名为ledwater.bsf的模块符号文件。 4,将光盘中EDA-Component目录下的int-div.bsf和int-div.v拷贝到工程目录。 5,新建图形设计文件命名为led-water.bdf并保存。在空白处双击鼠标左键,在Symbol对话框左上角的libraries.v中,分别将project下的ledwater和int-div模块放在图形文件led-water.bdf中,加入输入,输出引脚,双击各引脚符号进行引脚命名。将与ledwater模块led[7..0]连接的引脚命名为led[7..0],与int-div模块clock连接的引脚命名为clock,int-div模块的clk-out与ledwater模块的clk相连接。 6,选择目标器件并对相应的引脚进行锁定,在这里所选择的器件为Altera公司Cyclone系列的EPIC12Q240C8芯片,引脚锁定方法见下表,将未使用的引脚设置为三态输入。

单片机实验报告——流水灯

电子信息工程学系实验报告 课程名称: 单片机原理及接口 实验项目名称: 实验2 流水灯实验 时间: 2011-10-21 班级: 电信092 姓名: 蔡松亮 学号: 910706247 一、实 验 目 的: 进一步熟悉keil 仿真软件、proteus 仿真软件的使用。了解并熟悉单片机I/O 口和LED 灯的电路结构, 学会构建简单的流水灯电路。掌握C51中单片机I/O 口的编程方法和使用I/O 口进行输入输出的注意事项。 二、实验原理: MCS-51系列单片机有四组8位并行I/O 口,记作P0、P1、P2和P3。每组I/O 口内部都有8位数据输 入缓冲器、8位数据输出锁存器及数据输出驱动等电路。四组并行I/O 端口即可以按字节操作,又可以按 位操作。当系统没有扩展外部器件时,I/O 端口用作双向输入输出口;当系统作外部扩展时,使用P0、P2 口作系统地址和数据总线、P3口有第二功能,与MCS-51的内部功能器件配合使用。 以P1口为例,内部结构如下图所示: 图 P1口的位结构 作输出时:输出0时,将0输出到内部总线上,在写锁存器信号控制下写入锁存器,锁存器的反向输 出端输出1,下面的场效应管导通,输出引脚成低电平。输出1时,下面的场效应管截止,上面的上拉电 阻使输出为1。作输入时:P1端口引脚信号通过一个输入三态缓冲器接入内部总线,再读引脚信号控制下, 引脚电平出现在内部总线上。 I/O 口的注意事项,如果单片机内部有程序存贮器,不需要扩展外部存贮器和I/O 接口,单片机的四 个口均可作I/O 口使用;四个口在作输入口使用时,均应先对其写“1”,以避免误读;P0口作I/O 口使

流水灯设备设计实验报告

《微处理器与接口技术课程设计》报告——流水灯设计 专业:电气工程及其自动化 班级:电气1221 姓名: 学号: 2015年7月14日

1.课程设计目的 1.1巩固和加深对单片机原理和接口技术知识的理解; 1.2培养根据课题需要选学参考书籍、查阅手册和文献资料的能力; 1.3学会方案论证的比较方法,拓宽知识,初步掌握工程设计的基本方法; 1.4学会软、硬件的设计和调试方法; 1.5能按课程设计的要求编写课程设计报告,能正确反映设计和实验成果,能用计算机绘制电路图和流程图。 2.课程设计要求 2.1 不得无故缺课。 2.2 独立完成规定的设计任务。 2.3 完成所有实验资料。 3.硬件设计 3.1 设计思想 1.尽可能采用功能强的芯片 (1)单片机的选型。随着集成电路技术的飞速发展,许多外围部件都已集成在芯片内,本身就是一个系统,这样可以省去许多外围部件的扩展工作, 设计工作大大简化。 (2)优先选用片内带有闪烁存储器的产品。 (3)考虑EPROM空间和RAM空间。 (4)对I/O端口的考虑 (5)预留A/D和D/A通道。 2.以软代硬 原则上,只要软件能做到且能满足性能要求,就不用硬件。 3.工艺设计 包括机箱、面板、配线、接插件等。必须考虑到安装、调试、维修的方便。另外,硬件抗干扰措施也必须在硬件设计时一并考虑进去。 3.2主要元器件介绍 LY-51S单片机开发板 8根杜邦线

AT89C51模块引脚 LED显示灯模块 3.3 功能电路介绍 使用德飞来单片机开发版,利用杜邦线将板子上LED灯与AT89C5的引脚对应连接,然后通过keil和STC-ISP烧制程序,达到LED灯的不同程度点亮。 RP1排阻:330~430欧姆,J9插针,用于连接需要使用的IO口。

流水灯实验报告

流水灯实验报告 课程名称:单片机原理及接口 实验项目名称:实验2 流水灯实验时间:2011-10-21 班级:电信092 姓名:蔡松亮学号:910706247 一、实验目的: 进一步熟悉keil仿真软件、proteus仿真软件的使用。了解并熟悉单片机I/O口和LED 灯的电路结构,学会构建简单的流水灯电路。掌握C51中单片机I/O口的编程方法和使用I/O 口进行输入输出的注意事项。 二、实验原理: MCS-51系列单片机有四组8位并行I/O口,记作P0、P1、P2和P3。每组I/O口内部都有8位数据输入缓冲器、8位数据输出锁存器及数据输出驱动等电路。四组并行I/O端口即可以按字节操作,又可以按位操作。当系统没有扩展外部器件时,I/O端口用作双向输入输出口;当系统作外部扩展时,使用P0、P2口作系统地址和数据总线、P3口有第二功能,与MCS-51的内部功能器件配合使用。 以P1口为例,内部结构如下图所示: 成绩: 指导教师(签名):

图P1口的位结构 作输出时:输出0时,将0输出到内部总线上,在写锁存器信号控制下写入锁存器,锁存器的反向输出端输出1,下面的场效应管导通,输出引脚成低电平。输出1时,下面的场效应管截止,上面的上拉电阻使输出为1。作输入时:P1端口引脚信号通过一个输入三态缓冲器接入内部总线,再读引脚信号控制下,引脚电平出现在内部总线上。 I/O口的注意事项,如果单片机内部有程序存贮器,不需要扩展外部存贮器和I/O接口,单片机的四个口均可作I/O口使用;四个口在作输入口使用时,均应先对其写“1”,以避免误读;P0口作I/O口使用时应外接10K的上拉电阻,其它口则可不必;P2可某几根线作地址使用时,剩下的线不能作I/O口线使用;P3口的某些口线作第二功能时,剩下的口线可以单独作I/O口线使用。 三、实验环境: 硬件:PC机,基本配置CPU PII以上,内存2G 软件:keil 2, Proteus 7.5 四、实验内容及过程: 1、用Proteus画流水灯电路图流程: 1)、运行Proteus仿真软件,单击pick from libraries,打开搜索元器件窗口,如图1 所示:

16位流水灯

《电子设计基础》课程报告 设计题目:两种方式循环点亮16盏灯 学生班级:电气0901 学生学号:20095721 学生姓名:陈耀 指导教师:胥学金 时间:2011/6/20 西南科技大学 信息工程学院

目录 实验报告 (1) 电子设计自动化技术(一) (1) Protel PCB 99se软件学习 (2) PCB的封装 (3) 电子技术自动化技术(四) (4) 电路板的焊接 (5) 课程设计 (6) 设计题目及要求 (6) 题目分析及方案选择 (6) 主要元器件介绍 (6) 电路设计及计算 (8) 仿真及结果分析 (9) PCB版排布 (10) 总结 (12) 参考文献 (12)

一. 设计题目及要求 两种方式循环点亮16路彩灯。 用开关进行切换。 二.题目分析与方案选择 将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮。用框图表示如下。 三.主要元器件介绍 1、振荡器设计 用集成555电路构成多谐振荡器。电路如下图所示。 2 选四位二进制计数器74LS161。其引脚功能如下图所示。 真值表如下表所示: 振荡器 计数器 → → → 译码器 16路彩灯

7 3 译码器选用74LS138,其引脚功能如下图所示。 真值表如下表所示: 当输入A0、A1、A2在000~111变化时,对应的输出Y0至Y7为低电平,驱动外接彩灯发亮。 4.选用一片74LS00(内有四个与非门)。

真值表如下表: 5.这里选用16只发光二极管做彩灯。 四.电路设计及计算 1.555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。 因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。

流水灯实验报告

单片机流水灯试验 一、实验目的: 1、进一步熟悉Keil C51集成开发环境调试功能的使用 2、学会自己编写程序,进行编译、仿真调试 3、学会使用单片机的P0口作为I/O口去控制外围电路,实现LED灯以固定的频率进行闪烁。 二、实验设备: 1、PC机 2、SW-51PROC单片机综合实验平台 三、实验内容: 1、编写一段程序,用单片机P0口的8个输出去控制8个LED灯,实现如下功能: 先使8个LED灯轮流点亮,从左向右移动,时间间隔0.5s。以上过程循环实现。 四、实验步骤: 1、实验原理: 单片机流水的实质是单片机各引脚在规定的时间逐个上电,使LED灯能逐个亮起来但过了该引脚通电的时间后便灭灯的过程,实验中使用了单片机的P2端口,对8个LED灯进行控制,要实现逐个亮灯即将P2的各端口逐一置零,中间使用时间间隔隔开各灯的亮灭。使用rl或rr a实现位的转换。A寄存器的位经过rr a之后转换如下所示: 然后将A寄存器转换一次便送给P2即MOV P2,A便将转换后的数送到了P2口,不断循环下去,便实现了逐位置一操作。 2、实验电路图

3通过仿真实验正确性

代码如下:ORG 0 MOV A,#00000001B LOOP:MOV P2,A RL A ACALL DELAY SJMP LOOP DELAY:MOV R1,#255 DEL2:MOV R2,#250 DEL1:DJNZ R2,DEL1 DJNZ R1,DEL2 RET End ORG 0000H LJMP MAIN 4、实验程序: ORG 0050H MAIN:MOV R0,#14 MOV DPTR,#TABLE L0: MOV A,#0 L1: MOVC A,@DPTR+A MOV P0,A ACALL DELAY1 INC A41 DJNZ R0,L1 SJMP L0 DELAY1: MOV R1,#250 LOOP1: MOV R2,#250 LOOP2: NOP NOP NOP NOP NOP DJNZ R0,LOOP2 DJNZ R1,LOOP1 RET TABLE: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FH,0BFH,0DFH,0EFH,0F7H,0FBH,0FD H END

(完整word版)流水灯实验报告

FPGA流水灯实验报告 一、实验目的 熟练该软件的操作的同时,学会用VHDL语言来编程流水灯的制作 二、实验步骤 1、VHDL原程序: library ieee; use ieee.std_logic_1164.ALL; use ieee.std_logic_arith.ALL; use ieee.std_logic_unsigned.ALL; entity lsd is Port ( clk2,en2: in STD_LOGIC; lsd_out : out STD_LOGIC_VECTOR(15 downto 0)); end lsd; architecture liushuideng of lsd is signal n:STD_LOGIC_VECTOR(3 downto 0); signal m:std_logic_vector(1 downto 0); signal k:std_logic;

begin process(clk2,en2) begin if clk2'event and clk2='1'then if en2='1' then if m="10" then m<="01"; k<=not k; else m<=m+"01"; end if; end if; end if; end process; process(k) begin if k'event and k='1' then case n is when "0000"=> lsd_out<="0000000000000001"; when "0001"=> lsd_out<="0000000000000010"; when "0010"=> lsd_out<="0000000000000100"; when "0011"=> lsd_out<="0000000000001000"; when "0100"=> lsd_out<="0000000000010000"; when "0101"=> lsd_out<="0000000000100000"; when "0110"=> lsd_out<="0000000001000000"; when "0111"=> lsd_out<="0000000010000000"; when "1000"=> lsd_out<="0000000100000000"; when "1001"=> lsd_out<="0000001000000000"; when "1010"=> lsd_out<="0000010000000000"; when "1011"=> lsd_out<="0000100000000000"; when "1100"=> lsd_out<="0001000000000000"; when "1101"=>

相关文档