文档库 最新最全的文档下载
当前位置:文档库 › 数电实验讲义(修改)东华理工大学

数电实验讲义(修改)东华理工大学

数电实验讲义(修改)东华理工大学
数电实验讲义(修改)东华理工大学

基本原理实验

实验一门电路逻辑功能测试及应用

一、实验目的

1.熟悉数字电路学习机和双踪示波器的使用方法;

2.熟悉门电路的逻辑功能;

3.掌握TTL门电路、CMOS门电路功能及外特性的测试方法;

4.掌握基本集成逻辑芯片的正确使用与应用。

二、实验器材

1.数字电路学习机1台

2.双踪示波器1台

3.万用表1台

4.集成芯片

74LS00四2输入TTL与非门1片

74LS02四2输入TTL或非门1片

TC4011四2输入COMS与非门1片

5.0~10KΩ电位器1只

6.导线若干

三、预习要求

1.了解数字电路学习机和双踪示波器的使用方法(见附录);

2.熟悉所用集成芯片的引线位置及各引线用途;

3.复习门电路工作原理及相应逻辑表达式;

4.复习门电路主要特性及参数的意义。

四、实验内容及步骤

实验前按学习机使用说明书先检查学习机电源是否正常,然后选择实验用的集成芯片,按自己设计的实验接线图接好连线,特别注意V CC及地线不能接错。线接好后经实验指导老师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。

1.测试门电路的逻辑功能

分别将集成芯片74LS00、TC4011、74LS02插入面包板,接好V CC和地线,输入端接S1~S8(电平开关输出插口)任意两个,输出端接电平显示发光二极管(D1~D8)任意一个,列出各自的真值表,写出逻辑表达式。(集成芯片引脚图见图1-9、图1-10、图1-11)

2.TTL门电路(74LS00)主要参数的测试

(1)输出高电平V OH与输出低电平V OL的测定。

V OH—是指输入端有一个或一个以上为低电平时的输出高电平值,其测试图如图1-1所示。

V OL—是指输入端全部接高电平时的输出低电平值,其测试图如图1-2所示。

(2)输入短路电流I IS的测定。

I IS —是指输入端有一个接地,其余输入端接高电平(或TTL 门输入端的开路)时,流入接地输入端的电流。有时也把V I =0时的输入电流叫输入短路电流I IS 。

分别按图1-3(a )、(b )所示测量I IS ,分析两种情况下测定的I IS 值,你认为结果是否合理,为什么?

(3)高电平输入电流I IH 的测定。

I IH —是指输入端有一个接高电平,其余输入端接低电平(接地)时,流入该输入端的电流。

V CC (+5V )

图1-1 V OH 的测试电路 图1-2 V OL 的测试电路

V O

V O

(a ) (b )

图1-3 I IS 的测量电路

分别按图1-4(a )、(b )所示测量I IH ,分析两种情况下测定的I IH 值是否合理,为什么?

(a ) (b )

图1-4 I IH 的测量电路

3.电压传输特性的测量

(1)TTL 与非门的电压传输特性的测试。

TTL 与非门的电压传输特性测试电路图如图1-5所示,改变电位器W 的中心抽头的位置,使输入电压V I 按表1-1变化,用万用表测出每个V I 对应的V O 的大小,填入表1-1中,并在坐标纸上画出电压传输特性曲线。

V CC (+5V )

W

图1-5 电压传输特性测试电路

表1-1 TTL 与非门的电压传输特性的测试

(2)CMOS 门电路的电压传输特性的测试。

将集成芯片按图1-5接线,输入电压V I 按表1-2的大小变化,测出对应的V O 值的大小,填入表1-2中,并用坐标纸画出电压传输特性曲线。

表1-2 CMOS 与非门的电压传输特性的测试

4.CMOS 门电路平均传输时间的测量

用一片TC4011按图1-6接线,输入电压V I 接学习机上的连续脉冲,选择合适的连

Y 续脉冲的频率,用双踪示波器观察并记录输入、输出相位差(记录V I -V O 波形),计算每个门的平均传输延迟时间的pd t 值。

V i

图1-6 CMOS 门电路平均传输时间测量电路

5.利用逻辑门控制输出

(1)利用与非门控制输出。

用一片74LS00按图1-7接线,在X 输入端输入连续脉冲,在S 输入端分别加低电平“0”和高电平“1”时,用示波器分别观察输出端Y 的波形,将结果填入表1-3中,讨论S 对输出脉冲的控制作用。

图1-7 与门控制输出

(2)利用或非门控制输出。

用一片74LS02按图1-8接线,在X 输入端输入连续脉冲时,在S 输入端分别加低电平“0”和“1”时,用示波器分别观察输出端Y 的波形,将结果填入表1-4中,讨论S 对输出脉冲的控制作用。

表1-4 或非门控制输出

Y

图1-8 或非门控制输出

6.门电路的应用:半加器

如果不考虑进位,将两个一位二进制数相加,称为半加。实现半加运算的电路叫做半加器,它的真值表如表1-5所示,试用74LS00(或CT4011)

实现半加和Sn电路,画出逻辑电路图。

五、实验报告要求及讨论

1.按各步骤要求填表并画逻辑图。

2.回答问题:

(1)怎样判断门电路逻辑功能是否正常?

(2)与非门和或非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?

(3)异或门又称可控反相门,为什么?

(4)CMOS门电路的多余输入端能否悬空,为什么?

图1-974LS00的外引脚图图1-10TC4011的外引脚图

图1-1174LS02的外引脚图

实验二组合逻辑电路的设计与化简

一、实验目的

1.掌握组合逻辑电路的设计与化简方法;

2.掌握用基本集成芯片设计组合电路的方法。

二、实验器材

1.数字电路学习机1台

2.集成芯片

74LS00四2输入TTL与非门1片

TC4011四2输入CMOS与非门1片

74LS10三3输入TTL与非门1片

74LS86 四2输入异或门1片

3.导线若干

三、预习要求

1.了解实验所需集成芯片的引脚功能;

2.复习组合逻辑电路设计与化简的方法;

3.完成各实验内容中的原理电路图。

四、实验内容及步骤

1.用最少的2输入与非门实现下列逻辑函数,画出逻辑电路图,并用实验验证。

F1(A,B,C,D)=∑m(0,1,2,3,4,5,10,11,14,15)

F2(A,B,C,D)=ABC(AB+CD+AD)

2.设计一表决电路。

某三人参加会议,对某项提案进行表决,如果同意,就按下桌前的按钮,用逻辑“1”表示,如果不同意,就不按,用逻辑“0”表示。如果三人中有两人或两人以上同意,提案就通过,用逻辑“1”表示,否则就不通过,用逻辑“0”表示。试用74LS00、TC4011各一片实现上述功能。

3.设计一数据选择电路,要求为三选一,用74LS00、TC4011和74LS10各一片来实现。

4.利用74LS86设计四输入变量的奇偶校验电路,若输入“1”的个数为偶,则输出为“0”,否则为“1”。(集成芯片的引脚图见图2-1、图2-2)

图2-1 74LS10的引脚图 图2-2 74LS86的引脚图

五、实验报告要求与讨论

1.根据题目要求,写出化简过程,画出设计逻辑电路图。

2.说明实验过程中出现故障的原因及排除方法。

3.思考题:有同学用完好的74LS12(OC 门)代替74LS10组装实验电路,发现无输出,试分析原因,74LS12引脚排列与74LS10相同。

实验三译码器、数据选择器和加法器

一、实验目的

1.掌握中规模集成电路74LS138、74LS151和74LS283的逻辑功能及其测试方法;

2.掌握用中规模集成电路设计组合逻辑电路的方法。

二、实验器材

1.数字电路学习机1台

2.集成芯片

74LS1383线-8线译码器1片

74LS151 8选1数据选择器1片

74LS283 4位二进制超前进位全加器2片

74LS00四2输入TTL与非门2片

3.导线若干

三、预习要求

1.了解74LS138、74LS151和74LS283的工作原理、引脚图、逻辑功能及使用方法;

2.复习用中规模集成电路设计组合逻辑电路的方法;

3.根据实验内容的要求画出原理电路图。

表3-174LS138功能表

四、实验内容及步骤

1.译码器、数据选择器、加法器的逻辑功能测试

(1)译码器功能测试。

本实验采用的中规模集成电路74LS138是一个3线-8线译码器。其功能表如表3-1所示,其引脚见图3-1,按表3-1逐项测试74LS138的逻辑功能。

(2)数据选择器功能测试。

本实验采用的中规模集成电路74LS151是一个八选一数据选择器,其引脚图见图3-2所示,功能表如表3-2所示,按表3-2逐项测试74LS151的逻辑功能。

表3-274LS151功能表

图3-174LS138的引脚图

本实验采用的74LS283是四位超前进位全加器,A4A3A2A1和B4B3B2B1为两个四位二进制加数输入端,C0为低位进位输入,∑4∑3∑2∑1为和数输出,C4为总进位输出。其引脚图见图3-3。按表3-3所给的数进行测试,将结果填入表中。

表3-374LS283加法器逻辑功能测试

2.用译码器、数据选择器实现逻辑函数

(1)用74LS138实现下列函数,必要时可附加一片74LS00。

F(A,B,C)=∑m(1,2,3,4,5)

(2)用74LS151实现下列函数。

F(A,B,C)=∑m(1,3,5,6,7)

3.设计BCD码加法器

用两片74LS283设计一BCD码加法器,必要时可附加2输入与非门。画出原理电路图,用实验验证,并记录实验结果。填入表3-4中,其中D13D12D11D10和D23D22D21D20为加法器的输入,D4为加法器的进位输出,D3D2D1D0为加法器的输出。

表3-4BCD码加法器

1.整理实验数据、图表并对实验结果进行分析讨论。

2.思考题:试设计一个四位二进制求补码的电路。

实验四集成触发器

一、实验目的

1.熟悉基本RS触发器、JK触发器、D触发器和T触发器的逻辑功能;

2.熟悉触发器逻辑功能相互转换的方法;

3.了解触发器脉冲工作特性。

二、实验器材

1.数字电路学习机1台

2.双踪示波器1台

3.集成芯片

74LS00四2输入TTL与非门1片

74LS74 双D(TTL)触发器1片

74LS112 双JK(TTL)触发器1片

4.导线若干

三、预习要求

1.了解实验所需集成芯片的引脚和功能;

2.复习触发器的逻辑功能及相互间转换的方法;

3.完成实验中要求的原理电路图的设计。

四、实验内容及步骤

?集成芯片介绍?

74LS74为带异步置位和复位的上升沿触发的双D型TTL触发器,它的外引脚图见图4-1所示。

74LS112为带异步置位和复位的下降沿触发的双JK型TTL触发器,它的外引脚图见图4-2所示。

图4-174LS74的引脚图图4-274LS112的外引脚图

1.基本RS触发器逻辑功能测试

S、(1)用两个与非门接成如图4-3所示的基本RS触发器电路,按表4-1的顺序在

d

d R 端加信号,观察并记录Q 、Q 的状态,将结果填入表中,并说明在各种输入状态下,

触发器执行的是什么功能?

(2)当d S 、d R 都接低电平时,观察Q 、Q 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q 的状态,重复几次,以正确理解“不定”状态的含义。(注:d S 、d R 接同一逻辑电平开关才能满足“同时”的要求。

) 表4-1 基本RS 触发器逻辑功能测试

图4-3 基本RS 触发器

2.集成D 触发器的逻辑功能的测试

(1)用学习机上的单次脉冲作CP 脉冲加入74LS74 D 触发器的CP 端,观察并记录Q 、Q 的状态,填入表4-2中。

(2)令d S =d R =1,将D 和Q 端相连,CP 端加连续脉冲,用双踪示波器观察并记录Q 和Q 相对于CP 的波形,记录于图4-4中。

Q

CP

Q

图4-4 D 触发器时序

3.集成JK 触发器逻辑功能的测试

(1)用学习机上的单次脉冲作CP 脉冲加入74LS112 JK 触发器的CP 端,观察并记录Q 、Q 的状态,自行设计并填写JK 触发器的特性表。

(2)令d S =d R =1,J=K=1,CP 端加连续脉冲,用双踪示波器观察并记录Q 和Q 相对于CP 的波形,记录于图4-5中。

Q

CP

Q

图4-5 JK 触发器时序

4.实现下列触发器逻辑功能的转换,写出转换过程,并画出电路图,用实验验证。 (1)将D 触发器转换成JK 触发器。 (2)将D 触发器转换成T 触发器。 (3)将JK 触发器转换成D 触发器。 (4)将JK 触发器转换成T 触发器。

五、实验报告要求及讨论

1.整理实验数据、图表并对实验结果进行分析讨论。

2.实验内容中各种触发器的转换过程及原理图必须明确表示出来。

3.总结各类触发器的特点。

实验五时序逻辑电路分析、设计与测试

一、实验目的

1.进一步熟悉时序逻辑电路的分析方法;

2.掌握时序逻辑电路的测试方法;

3.熟悉脉冲型同步时序电路的设计与测试方法;

4.熟悉脉冲型异步时序电路的设计与测试方法。

二、实验器材

1.数字电路学习机1台

2.双踪示波器1台

3.万用表1台

4.集成芯片

74LS112双JK(TTL)触发器2片

74LS74双D(TTL)触发器2片

74LS20双四输入TTL与非门2片

74LS00四2输入TTL与非门2片

5.导线若干

三、预习要求

1.复习时序逻辑电路的分析、设计及测试方法;

2.了解常用集成芯片的功能。

四、实验内容及步骤

(一)实验原理

1.时序逻辑电路的分析与测试

对时序逻辑电路的测试,可在CP端加入合适的脉冲信号,然后观察各单元部件之间的配合是否满足要求。例如,对图5-1所示3位二进制异步加法计数器的测试,可以采用以下几种方法:

图5-1计数器的测试电路

(1)用示波器观察波形。在计数器的CP端加入1KHz的脉冲信号,然后用示波器分别测试脉冲信号CP的波形及计数器的输出端Q0、Q l、Q2的波形。

(2)用0-l(LED管)显示器显示二进制数。在计数器的CP端加入1Hz的脉冲信号,然后用0-1(LED管)显示器观察计数器的输出端Q0、Q1、Q2状态的变化。

(3)用数码管显示。在计数器的CP端加入1Hz的脉冲信号,将计数器的输出端接至字符译码器,译码器的输出接至数码管,由数码管可以显示计数器CP端输入脉冲的个

数。

2.时序逻辑电路的设计

时序电路的设计,就是根据给定的逻辑关系,求出满足此逻辑关系的最简单的逻辑电路图。时序电路的设计一般按以下几个步骤进行:

(1)分析给定的逻辑关系,确定输入变量和输出变量,建立状态表或状态图。

(2)状态化简,即合并重复状态,以得到最简单的状态图。

(3)状态分配,即状态编码,对每个状态指定一个二进制编码。

(4)确定触发器的个数和类型,求出输出方程、状态方程和驱动方程,并检查能否自启动,若不能,则需对电路方程进行修改。

(5)根据输出方程、状态方程和驱动方程画出逻辑图。

由于时序电路有同步时序电路和异步时序电路两种类型,在处理设计步骤的时候,对于异步时序逻辑电路,在把状态图转换成卡诺图进行化简时,除了可以把无效状态当作约束项处理外,对于某个触发器的次态来说没有时钟脉冲的电路状态也可以当作约束项处理,这样可以得到更简化的逻辑图。

当时序电路中存在无效状态时,必须考虑电路的自启动问题,即考虑那些无效状态能否在时钟脉冲作用下自动进入到工作循环中来。任何一个系统在工作过程中会不可避免地受到各种干扰,在受到外界干扰时,电路可能会进入无效状态。如果电路是自启动的,则经过若干时钟周期后,电路一定能自动回到工作循环中。若电路不能自启动,一旦进入某些无效状态,电路便无法恢复正常工作。

(二)实验内容及步骤

1.时序逻辑电路的分析与测试

(1)异步计数器

图5-2是一异步二进制加法计数器,按图接线,由CP端接连续脉冲,用示波器观察并记录CP、Q1、Q2、Q3、Q4的波形。

Q4

图5-2异步二进制加法计数器

(2)同步计数器

①图5-3是由4位JK触发器和两个与非门组成的同步十进制加法计数器,CP是输入计数脉冲,C是向高位进位的输出信号。按图接线,CP输入单次脉冲,Q1Q2Q3Q4接发光二极管,记录各触发器的状态。

图5-3同步十进制加法计数器

注:JK触发器是单端输入,若图5-3是采用多输入端,应考虑加与非门。

②将图5-3中的各触发器的初始状态置成1110,记录各触发器的输出状态,观察计数器能否正常工作,分析原因。

2.时序逻辑电路的设计

(1)异步计数器的设计

利用集成电路芯片74LS112设计一个三位异步二进制减法计数器,画出逻辑电路图,用实验验证。

(2)同步计数器的设计

利用集成电路芯片74LS112设计一个同步五进制加法计数器,画出设计电路图,用实验验证。

(3)顺序脉冲发生器的设计

试用D触发器设计一个能自启动的环形计数器,电路的输出Q1Q2Q3Q4为一组顺序脉冲。试自行设计电路,完成电路的连接,测试电路的功能,必要时可附加与非门。

五、实验报告及讨论

1.画出实验内容要求的波形及记录表格。

2.总结时序逻辑电路的特点。

3.时序逻辑电路设计必须写出每步实验的设计步骤。

4.思考题:设计同步计数器时,怎样确定电路的状态编码?

?集成芯片介绍?

集成芯片74LS20是双四输入TTL与非门,其引脚图见图5-4所示。

图5-474LS20的引脚图

实验六集成计数器及寄存器

一、实验目的

1.熟悉集成计数器逻辑功能和各控制端作用;

2.掌握计数器使用方法。

二、实验器材

1.数字电路学习机1台

2.双踪示波器1台

3.集成芯片

74LS90 十进制计数器2片

74LS00 四2输入TTL与非门1片

4.导线若干

三、预习要求

1.复习集成计数器的工作原理;

2.了解74LS90的引脚和功能;

3.完成实验中原理电路图的设计。

四、实验内容及步骤

1.集成计数器74LS90功能测试

74LS90是二-五-十进制异步计数器。逻辑简图如图6-1所示。

图6-174LS90逻辑图

74LS90具有下述功能:

直接置0(R0(1)·R0(2)=1),直接置9(S9(1)·S9(2)=1);

二进制计数(CP1输入Q A输出);

五进制计数(CP2输入Q D Q C Q B输出);

十进制计数(两种接法如图6-2(A)、(B)所示)。

按芯片引脚图分别测试上述功能,并填入表6-1、表6-2、表6-3中。

(A )十进制 (B )二-五混合进制

图6-2 十进制计数器 表6-1 功能测试表

表6-2 二-五混合进制 表6-3 十进制

2.计数器级连

分别用2片74LS90计数器级连成二-五混合进制、十进制计数器。 (1)画出连接电路图;

(2)按图接线,并将输出端接到数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。

(3)画出四位十进制计数器连接图并总结多级计数级连规律。

3.任意进制计数器设计方法

采用脉冲反馈法(称复位法或置位法),可用74LS90组成任意模(M)计数器。采用复位法,即计数计到M异步清零;采用置位法,即计数计到M-1异步清零。当实现十以上进制的计数器时可将多片级连使用,输出为8421BCD码。

(1)设计一个六十进制计数器并接线验证;

(2)将输出接到LED显示器上验证;

(3)记录上述实验各级同步波形。

五、实验报告及讨论

1.整理实验内容和各实验数据。

2.画出实验内容1、2所要求的电路图及波形图。

3.总结计数器使用特点。

实验七脉冲产生与整形

一、实验目的

1.掌握555时基电路的结构和工作原理,学会对此芯片的正确使用;

2.学会分析和测试用555时基电路构成的多谐振荡器,单稳态触发器,R-S触发器等三种典型电路。

二、实验器材

1.数字电路学习机1台

2.双踪示波器1台

3.集成芯片

NE556(或LM556,5G556等)双时基电路1片

二极管1N4148 2只

电位器22K,1K 2只

电阻、电容若干

扬声器1个

4.导线若干

三、预习要求

1.复习555集成定时器的工作原理;

2.分析各实验电路的工作原理,画出待测各点的理论波形;

3.求出单稳态触发器电路的T W和f的理论值;

4.求出多谐振荡器电路的T W和f的理论值。

四、实验内容及步骤

表7-1555时基电路功能

数电实验报告册

湖北理工学院电气与电子信息工程学院 实验报告 课程名称:电子技术实验(数电部分) 专业名称: 班级: 学号: 姓名: 1

湖北理工学院电气与电子信息工程学院实验报告规范实验报告是检验学生对实验的掌握程度,以及评价学生实验课成绩的重要依据,同时也是实验教学的重要文件,撰写实验报告必须在科学实验的基础上进行。真实的记载实验过程,有利于不断积累研究资料、总结研究实验结果,可以提高学生的观察能力、实践能力、创新能力以及分析问题和解决问题的综合能力,培养学生理论联系实际的学风和实事求是的科学态度。 为加强实验教学中学生实验报告的管理,特指定湖北理工学院电气与电子信息工程学院实验报告规范。 一、每门实验课程中的每一个实验项目均须提交一份实验报告。 二、实验报告内容一般应包含以下几项内容: 1、实验项目名称:用最简练的语言反映实验内容,要求与实验课程安排表中一致; 2、实验目的和要求:明确实验的内容和具体任务; 3、实验内容和原理:简要说明本实验项目所涉及原理、公式及其应用条件; 4、操作方法与实验步骤:写出实验操作的总体思路、操作规范和操作主要注意事项,准确无误地记录原始数据; 5、实验结果与分析:明确地写出最后结果,并对实验得出的结果进行具体、定量的结果分析,说明其可靠性; 6、问题与建议(或实验小结):提出需要解决问题,提出改进办法与建议,避免抽象地罗列、笼统地讨论。(或对本次实验项目进行总结阐述。) 三、实验报告总体上要求字迹工整,文字简练,数据齐全,图标规范,计算正确,分析充分、具体、定量。 四、指导教师及时批改实验报告,并将批改后的报告返还学生学习改进。 五、实验室每学期收回学生的实验报告,并按照学校规章保存相应时间。 2

电工基础实验报告

作者: 日期:

电工学 实验报告 实训时间:2012/3/26 指导老师: ________ 班级:_1_ 姓名: _________ 学号:11

I 1 =14 I 2=15 图中有两个节点A 和D 根据基尔霍夫定律(KCL )节点个数n=2,支路个数b=3 广州大学给排水工程专业学生实验报告 成 绩 NO 1 日期2012 年 3 月 26 实验项目: 电阻串联、并联、双电源直流电路分析 目 的: 学习万用表使用,学习电阻、电压、电流和电位测量 内 容: (见详细介绍) 仪 器: 数字万用表、双输出稳压电源 材 料: 试验用电阻及导线 图1-38直流电路基本测量实验电路 科目 电子电工技术班级 1报告人:—同组学生 日 U 2 + R 1 510 Q I" + + 1 R3 E 1 d )6V U 3 510 Q U 4 F A R 2 1k Q E D U 5 I 2 I 1 - + R 4 510 Q U 1 + 12V - + E 2 + - R 5 330 Q 解:由图中可知,图中共有 3个支路,AFED,AD,AECD, 因为流经各支路的电流相等,所以

I 1+ I 2= I 3 对节点A有 对于网孔ADEFA,按顺时针循环一周,根据电压和电流的参考方向可以列出 1 1R1+I 3R3 +I 4R4 E1 I I510 I3510 14510 6V 对于网孔ADCBA,按顺时针循环一周,根据电压和电流的参考方向可以列出 I2R2+I3R3 + I5R5 = E2 I21000 +l3510 +l5330 =12V 联立方程得

实验29-铁电性能测量实验讲义全

铁电体电滞回线的测量 铁电材料是一类具有自发极化,而且其 自发极化矢量在外电场作用下可以翻转的 电介质材料,它具有优异的铁电、压电、介 电、热释电及电光性能,在非挥发性铁电存储器、压电驱动器、电容器、红外探测器和电光调制器等领域有重要的应用。铁电材料的主要特征是具有铁电性,即极化强度与外 电场之间具有电滞回线的关系,如图1所示。 电滞回线是铁电体的重要特征和重要判据 之一,通过电滞回线的测量可以得到自发极化强度P s 、剩余极化强度P r 、矫顽场E c 等重 要铁电参数,理解铁电畴极化翻转的动力学过程。 【实验目的】 1. 了解铁电测试仪的工作原理和使用方法。 2. 掌握电滞回线的测量及分析方法。 3. 理解铁电材料物理特性及其产生机理。 【实验仪器】 本实验采用美国Radiant Technology 公司生产的RT Premier Ⅱ型标准铁电测试仪,该仪器可以测量铁电材料的电滞回线、漏电流、疲劳、印痕、PUND (Positive Up Negative Down)等性能,而且配备了变温系统和热释电软件还可以测量热释电性能。 【实验原理】 铁电体的自发极化强度并非整个晶体为同一方向,而是包括各个不同方向的自发极化区域,其中具有相同自发极化方向的小区域叫做铁电畴。电滞回线的产生是由于铁电晶体中存在铁电畴。铁电体未加电场时,由于自发极化取向的任意性和热运动的影响,宏观上不呈现极化现象。当加上外电场大于铁电体的矫顽场时,沿电场方向的电畴由于新畴核的形成和畴壁的运动,体积迅速扩大,而逆电场方向的电畴体积则减小或消失,即逆电场方向的电畴转化为顺电场方向,因此表面电荷Q (极化强度P )和外电压V (电场强度 E )之间构成电滞回线的关系。另外由于铁电体本 身是一种电介质材料,两面涂上电极构成电容器 之后还存在着电容效应和电阻效应,因此一个铁 电试样的等效电路如图2所示。其中C F 对应于电 畴反转的等效电容,C D 对应于线性感应极化的等 效电容,R C 对应于试样的漏电流和感应极化损耗 相对应的等效电阻。如果在试样两端加上交变电图2 铁电测试等效电路图 O +E c -P r P E +P r -E c P S 图1 铁电体的电滞回线

石油大学数电实验

第一次 1. 用一片74ls00分别实现下列逻辑函数:ABC F = ABC F = B A F += B A B A F += (预习时学画出电路原理图) 2. 化简下列函数并用常用门电路实现: C B A BC A ABC ++=F J 第二次 1.用最少的门电路实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 2.用最少的门电路实现1位全加器(预习时画出电路原理图,注明所用芯片型号) 3.设A 、B 、C 、D 是4位二进制数(A 为高位),可用来表示16个十进制数。请设计一逻辑电路,使之能区分下列三种情况: (1)4X 0≤≤ (2)9X 5≤≤ (3)15X 10≤≤ (预习时画出电路原理图,注明所用芯片型号) 第三次 1.用一个3线8线译码器和最少的门电路设计一个奇偶校验电路,要求当输入的四个变量中有偶数个1时输出为1,否则为0(预习时画出电路原理图,注明所用芯片型号) 2.用4选1数据选择器74ls153实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 第四次 1.测试JK 触发器逻辑功能:74LS112是双J-K 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS112上一个J-K 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS112的内部结构及管脚分配) 2.测试D 触发器逻辑功能:74LS74是双D 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS74上一个D 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS74的内部结构及管脚分配) 第五次 1.用十进制计数器 74LS90实现六进制计数器 2.用74LS161实现10进制计数器,并用两种方法构成6进制计数器,计数循环为0000~0101。

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

数电实验报告

选课时间段: 序号(座位号): 杭州电子科技大学 实验报告 课程名称: 数字原理与系统设计实验 实验名称: 组合电路时序分析与自动化设计 指导教师: 学生姓名 学生学号 学生班级 所学专业 实验日期

实验一、设计8位串行进位加法器电路设计: 一位全加器: 八位串行进位加法器:

仿真波形:

实验二、设计5人表决电路 代码: module BJDL45(A,B,C,D,E,YES,NO); input A,B,C,D,E; output YES,NO; reg YES,NO; always@ (A,B,C,D,E,YES,NO) case ({A,B,C,D,E}) 5'B00000:{YES,NO}<=2'B01; 5'B00001:{YES,NO}<=2'B01; 5'B00010:{YES,NO}<=2'B01; 5'B00011:{YES,NO}<=2'B01; 5'B00100:{YES,NO}<=2'B01; 5'B00101:{YES,NO}<=2'B01; 5'B00110:{YES,NO}<=2'B01; 5'B00111:{YES,NO}<=2'B10; 5'B01000:{YES,NO}<=2'B01; 5'B01001:{YES,NO}<=2'B01; 5'B01010:{YES,NO}<=2'B01; 5'B01011:{YES,NO}<=2'B10; 5'B01100:{YES,NO}<=2'B01; 5'B01101:{YES,NO}<=2'B10; 5'B01110:{YES,NO}<=2'B10; 5'B01111:{YES,NO}<=2'B10; 5'B10000:{YES,NO}<=2'B01; 5'B10001:{YES,NO}<=2'B01; 5'B10010:{YES,NO}<=2'B01; 5'B10011:{YES,NO}<=2'B10; 5'B10100:{YES,NO}<=2'B01; 5'B10101:{YES,NO}<=2'B10; 5'B10110:{YES,NO}<=2'B10; 5'B10111:{YES,NO}<=2'B10; 5'B11000:{YES,NO}<=2'B01; 5'B11001:{YES,NO}<=2'B10; 5'B11010:{YES,NO}<=2'B10; 5'B11011:{YES,NO}<=2'B10; 5'B11100:{YES,NO}<=2'B10; 5'B11101:{YES,NO}<=2'B10; 5'B11110:{YES,NO}<=2'B10; 5'B11111:{YES,NO}<=2'B10; default: {YES,NO}<=2'B10; endcase

电工实验讲义

实验一功率因数的提高 一.实验目的 (1) 了解提高功率因数的意义和方法 (2) 学习如何使用功率表 二.实验内容 以日光灯电路为例,研究电感性电路功率因数的提高 三.实验仪器和设备 名称型号或规格数量 日光灯电路实验板30w-40w 1 交流电压表0-1A 1 交流电流表0-300V 1 功率表D-34W 1 电容箱0-8F 1 单掷单刀开关自制 1 单掷双刀开关 1 电流表插座板 1 四. 实验方法说明 用户中电感性负载较多,其功率因数较低,导致电能传输效率降低,发电设备容量得不到充分利用.为了提高经济效益,通常在负载断并联适当的电容器来提高功率因数.本实验以日光灯为例,研究并联于电感性负载上的电容器对提高电路功率因数的作用,同时研究功率因数随并联电容量变化而变化的规律。

日光灯电路主要由灯管和镇流器组成,见图5(a ),是一个功率因数较低的电路,灯管工作时,可以认为是一个电阻负载R ,镇流器是一个带铁心的线圈,可看作是由一个等效电阻r 和一个电感L 相串联的元件,如图5(b )所示。为了提高功率因数,可在日光灯电路两端并联适当的电容器。 由于日光灯电路的电流波形不是正弦波,因而会给实验结果带来一定的误差。 图5 本实验线路图如图6(a )所示,图6(b )是实验电路的接线图。 由图6(a )可见,电路消耗的功率为 ?cos UI P = 故电路功率因数为: UI P = ?cos

图6 因此,测出电路的电压,电流和功率的数值后,就可由上式求得电路的功率因数。 实验的主要操作步骤如下: (1)按图6(b)线路接线,闭合DK2后再合上电源开关DK1,测量电源电 压U,灯管电压U1,流器电压U2,记于表4中。 表4 (2)分开DK2,从电容C=0开始依次递增电容量至8μ。将各次测得I、I1、I C、P数值记入表5内。

电力电子技术A实验讲义

实验四三相半波可控整流电路的研究一.实验目的 了解三相半波可控整流电路的工作原理,研究可控整流电路在电阻负载和电阻—电感性负载时的工作情况。 二.实验线路与原理 三相半波可控整流电路用三只晶闸管,与单相电路比较,输出电压脉动小,输出功率大,三相负载平衡。不足之处是晶闸管电流即变压器的二次电流在一个周期内只有1/3时间有电流流过,变压器利用率低。 实验线路见图4-1。 1) 电源控制屏位于MEL-002T; 2) L平波电抗器位于NMCL-331挂件; 3) 可调电阻R位于NMEL-03/4挂件 4) G给定(Ug)位于NMCL-31调速系统控制单元中; 5) Uct位于NMCL-33F挂件; 6) 晶闸管位于NMCL-33F挂件。 图4-1 三.实验内容

1.研究三相半波可控整流电路供电给电阻性负载时的工作情况。 2.研究三相半波可控整流电路供电给电阻—电感性负载时的工作情况。 四.实验设备与仪表 1.教学实验台主控制屏 2.触发电路与晶闸主回路组件 3.电阻负载组件 4.示波器 五.注意事项 整流电路与三相电源连接时,一定要注意相序。 六.实验方法 1. 三相半波可控整流电路带电阻性负载。 合上主电源,接上电阻性负载R。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管A、K间端电压U VT=f(t)的波形。 2. 三相半波可控整流电路带电阻—电感性负载。 接入的电抗器L=700mH。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管的端电压U VT=f(t)(电阻性负载、电阻—电感性负载)、I d=f(t)(电阻—电感性负载)的波形。 实验方法的具体内容,可参照表4进行。 七. 实验报告

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

电工电子工艺基础实验报告完整版

电工电子工艺基础实验报告完整版 电工电子工艺基础实验报告专业年级: 学号: 姓名: 指导教师: 2013 年 10 月 7 日

目录 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 二.简述磁控声光报警器的工作原理,画出电路组成框图,实物图片。 三.简述ZX—2005型稳压源/充电器的工作原理,画出电路组成框图,实物图片;附上实习报告。四.简述流水灯工作原理,画出电路组成框图,实物图。 五.简述ZX2031FM微型贴片收音机的工作原理,画出电路组成框图,实物图。 六.简述HTDZ1208型—复合管OTL音频功率放大器的工作原理,画出电路组成框图,实物图。七.总的实训体会,收获,意见。 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 (1)电烙铁的拿法 反握法:动作稳定,不易疲劳,适于大功率焊接。 正握法:适于中等功率电烙铁的操作。

握笔法:一般多采用握笔法,适于轻巧型的电烙铁,其 烙铁头就是直的,头端锉成一个斜面或圆锥状,适于焊 接面积较小的焊盘。 (2)焊锡的拿法 (3)焊接操作五步法 左手拿焊条,右手拿焊铁,处于随时可焊状态。 加热焊件、送入焊条、移开焊条、移开电烙铁。(4)采用正确的加热方法 让焊件上需要锡侵润的各部分均匀受热 (5)撤离电烙铁的方法 撤离电烙铁应及时,撤离时应垂直向上撤离 (6)焊点的质量要求 有可靠的机械强度、有可靠的电气连接。 (7)合格焊点的外观 焊点形状近似圆锥体,椎体表面呈直线型、表面光泽 且平滑、焊点匀称,呈拉开裙状、无裂纹针孔夹 渣。 (8)常见焊点缺陷分析 二.简述磁控声光报警器的工作原理,画出

西工大数电实验第二次VHDL分频器

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY fpq IS PORT(clk:IN STD_LOGIC; clk_out,clk_out1:OUT STD_LOGIC); END fpq; ARCHITECTURE fwm OF fpq IS CONSTANT m : INTEGER:= 25000000; --50M 分频到1Hz 时=25000000。 CONSTANT m1 : INTEGER:= 2500000; --5M 分频到10Hz 时=2500000。 SIGNAL tmp :STD_LOGIC; SIGNAL tmp1 :STD_LOGIC; BEGIN PROCESS(clk, tmp) VARIABLE cout : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 IF cout<=m THEN tmp<='0'; --计数小于等于25000000,输出0 ELSIF cout

END IF; END IF; END PROCESS; clk_out<=tmp; --50M分频器输出 PROCESS(clk, tmp1) VARIABLE cout1 : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout1:=cout1+1; --计数器+1 IF cout1<=m1 THEN tmp1<='0'; --计数小于等于2500000,输出0 ELSIF cout1

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数电实验二:简易计算器(实验报告)

数电实验2实验报告 1、设计修改方案 (1)加入编码器连接4选一数据选择器,控制进行运算的种类 (2)修改了输出端数据选择器的程序,使得当计算器没有任何输入时,结果显示保持为0,并且利用芯片自身的灭零管脚,让显示结果中,当十位为零时,十 位的零不显示。

2、实验数据及分析 (1)修改后电路图(附后) (2)仿真波形 设置输入2个4位二进制数为0110(十进制6)和0010(十进制2),计算方式控制SW[3:0]设为0111,即模拟除法操作,加入时钟信号。 ①模拟除法波形: 可以看到十位(商)的数码管显示中,1、2、3、4、7段亮,显示为数字3,而个位(余数)显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6除2商3余0。满足计算要求。 ②模拟乘法波形:(SW[3:0]设为1011,其他输入同上)

可以看到个位的数码管显示中,1、4、5、6段亮,显示为C(化为十进制为12),而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6乘2等于0C,即等于12。当改变输入4和2是,显示结果为8,。满足计算要求。 ③模拟加法波形:(SW[3:0]设为1101,其他输入同上) 可以看到个位的数码管显示中,1、2、3、4、5、6、7段全亮,显示为数字8,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6加2等于08,即等于8。满足计算要求。 ④模拟减法波形:(SW[3:0]设为1110,其他输入同上) 可以看到个位的数码管显示中,2、3、6、7段亮,显示为数字3,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6减2等于03,即等于3。满足计算要求。 从上面加减乘除四种功能运算的波形仿真可以看出,本实验设计能够正确完成对输入数字的上述四种运算。满足题目要求。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码 X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD是什么?

ABCD 接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

西工大_数电实验_第四次实验_实验报告

数电实验4 一.实验目的 熟悉用仿真法研究数字电路实验的过程,实现一个彩灯控制电路。 熟练使用VHDL语言 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号: K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮 3.彩灯正常工作的同时,四个七段数码管循环显示第一个同学的学号后四位一秒,第二个同学的学号后四位一秒,全黑一秒。 四.实验原理 1.彩灯控制电路的程序如下: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_ARITH.ALL; USE IEEE.std_logic_UNSIGNED.ALL; ENTITY led IS port( clk:in std_logic; data_in:IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_out:out std_logic_vector(3 downto 0); data_out1,data_out2,data_out3,data_out4:out std_logic_vector(6 downto 0)); END led; ARCHITECTURE control OF led IS CONSTANT m : INTEGER:= 25000000; BEGIN PROCESS(data_in,clk) V ARIABLE cout : INTEGER:=0; V ARIABLE i : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 i:=i+1; --计数器+1

数字电路实验讲义

实验一KHD-2型数字电路实验装置的使用和 集成门电路逻辑功能的测试 一、实验目的 1.熟悉和掌握KHD-2型数字电路实验装置的使用。 2.熟悉74LS20和74LS00集成门电路的外形和管脚引线。 3.掌握与门、或门、非门、与非门、或非门和异或门逻辑功能的测试。 二、实验器材及设备 1.KHD-2数字电路实验台 2.4输入2与非门74LS20(1块) 3.2输入4与非门74LS00或CC4011(1块) 三、实验原理 (一)KHD-2型数字电路实验台 KHD-2型数字电路实验台由实验控制屏与实验桌组成。实验控制屏主要由两块单面敷铜印刷线路板与相应电源、仪器仪表等组成。控制屏由两块相同的数电实验功能板组成,其控制屏两侧均装有交流电压220V的单相三芯电源插座。每块实验功能板上均包含以下各部分内容: 1.实验板上装有一只电源总开关及一只熔断器(额定电流为1A)作为短路保护用。 2.实验板上共装有600多个高可靠的自锁紧式、防转、叠插式插座。它们与集成电路插座、镀银针管座以及其他固定器件、线路的连线已设计在印刷线路板上。板正面印有黑线条连接的器件,表示反面已装上器件并接通。 3.实验板上共装有200多根镀银长15mm的紫铜针管插座,供实验时接插小型电位器、电阻、电容、三极管及其他电子器件使用。 4.实验板上装有四路直流稳压电源(±5V、1A及两路0~18V、0.75A可调的直流稳 压电源)。实验板上标有处,是指实验时需用导线将直流电源+5V引入该处,是+5V 电源的输入插口。 5.高性能双列直插式圆集成电路插座18只(其中40P 1只、28P 1只、24P 1只、20P 1只、16P 5只、14P 6只、8P 2只、40P锁紧座1只)。 6.6位十六进制七段译码器与LED数码显示器:每一位译码器均采用可编程器件GAL 设计而成,具有十六进制全译码功能。显示器采用LED共阴极红色数码管(与译码器在反面已连接好),可显示四位BCD十六进制的全译码代号:0、1、2、3、4、5、6、7、8、9、A、B、C、D、E和F。 使用时,只要用锁紧线将+5V在没有BCD码输入时六位译码器均显示“F”。 7.四位BCD码十进制拔码开关组:每一位的显示窗指示出0~9中的任一个十进制数字,在A、B、C、D四个输出插口处输出相对应的BCD码。每按动一次“+”或“ ”键,将顺序地进行加1计数或减1计数。 若将某位拔码开关的输出口A、B、C、D连接在“2”的一位译码显示的输入端口A、B、C、D处,当接通+5V电源时,数码管将点亮显示出与拔码开关所指示一致的数字。

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

相关文档