文档库 最新最全的文档下载
当前位置:文档库 › 密码锁文档

密码锁文档

密码锁文档
密码锁文档

数字电路实验综合设计二

一.实验名称:设计一个四位密码锁。

二.实验要求:设计一个密码锁,假如有四位密码输入,同时还需使用钥匙,如果密码和钥匙都正确,则密码锁打开,输出端Z为高电平,如果钥匙正确,而密码错误,这则输出端L为高电平同时报警。根据组合电路的设计过程,设计电路,设计的电路要求符合客观实际的要求,用与非门来实现。三.设计步骤:

1.设ABCD=1111为初设密码。如果钥匙错误,则不管密码是否正确都将无法打开锁,所以将钥匙E设为使能端。

如果钥匙正确E=1,密码正确ABCD=1111,则开锁Z=1.

如果钥匙正确E=1,密码错误,则输出响铃L=1.

如果钥匙和密码都不正确,则Z=0,L=0.

2.根据以上可以作出真值表:

3.从上图真值表很容易得出其开锁端Z和报警端L的逻辑表达式如下:

4.根据逻辑表达式可以设计出逻辑电路图如下:(用与非门实现)

5.功能仿真:

(1)当钥匙错误时,不管你输入的密码是否正确。两灯都是低电平,都没有反应。仿真图如上图。

(2)当钥匙正确,密码错误时,D2变亮(即输出为高电平,且报警)。

(3)当钥匙正确,密码也正确时,D1变亮(即输出高电平,开锁成功)。仿真图如下:

6.综上就是设计过程,经过仿真也达到要求。不过我的设计中也许还有许多不足之处,希望老师查阅后给出意见。谢谢老师!

电子密码锁设计图与电子锁工作原理

电子密码锁设计图与电子锁工作原理原文地址:https://www.wendangku.net/doc/6017144899.html,/tech/Knowledge/2011-11-23/157.html 电子密码锁采用十进制计数/脉冲分配器集成电路CD4017和少量外围元器件组成,它具有可编密码数高、性能可靠等特点,可用于门锁、保险柜或机动车点火系统的控制。 一、电路工作原理: 该电子密码锁电路由输入控制电路、复位电路、计数/分配器电路和控制执行电路组成,如图所示。 输入控制电路由密码按钮S1、S2、S5、S8、S9和二极管VD3-VDl4、电阻器 R1等组成。 计数/分配器电路由IC和晶体管Vl、电阻器R2、R3、二极管VDl组成。 复位电路由电容器Cl、C2、二极管VD2、VDl5、电阻器R4、R5和按钮S3、S4、S6、S7组成。 控制执行电路曲晶体管V2、V3、发光二极管VL、电阻器R6、R7、继电器 (或电控锁)K和二极管VDl6组成。 接通电源后,+l2V电压经Cl为IC的R端 (15脚)提供一个复位高电平,使IC复位,其YO端 (3脚)输出高电平,其余各输出端均为低电平。

按动一下Sl时,YO端的高电平经VD9、Sl和R2加至Vl的基极,使Vl饱和导通;松开别后,Vl截止,在IC的CP端产生一个触发脉冲,IC开始计数,其 Yl端 (2脚)输出高电平,而YO端和其余各输出端均为低电平。 再按动S2一下,IC第Yl端的高电平又使Vl再次导通,松开S2后Vl截止,IC计人第2个脉冲,其Y2端 (4脚)输出高电平,Yl端和其余各输出端均为低电平。 按动一下S8时,+l2V电压经R3、VDl、Rl和S8到地形成闭合回路,松开S 后也会在IC的CP端产生触发脉冲,使IC计人第3个脉冲,其Y3端 (7脚)输出高电平。 按动一下S5时,Y3端的高电平经VD11、S5、R2使Vl导通,松开S5时Vl截止,IC计人第4个脉冲,Y4端 (10脚)输出高电平。 再按动一下S8,lC的Y5端 (l脚)又变为高电平;按动一下S2,使IC的Y6 端变为高电平;按动一下S9,使lC的Y7端变为高电平;按动一下S8,使IC的 Y8端变为高电平;按动一下S5,使IC的Yg端输出高电平,此时VL点亮,V2和V3导通,继电器 (或电控锁)K动作,将锁打开。 同时,Y9端的高电平还经VDl5和R5向C2充电,当C2充满屯 (几秒钟后) 时VD2导通,IC因l5脚加人高电平脉冲而清零复位,YO端输出高电乎,V2和V3截止,K释放,VL熄灭。 该电路的开锁密码为128582985。根据需要改变各按钮的接线位置,即可更改密码。 若不知道密码,而误按动了按钮S3、S4、S6和S7,则IC强制复位,YO端输出高电平,无法开锁。 元器件选择 Rl-R7选用1/4W碳膜电阻器或金属膜电阻器。 Cl选用独石电容器或涤纶电容器;C2和C3均选用耐压值为16V的铝电解电容器。 VDl-VDl5均选用lN4148型硅开关二极管;VDl6选用1N4007型硅整流二极管。 Vl和V2选用S9013或3DG9013型硅NPN晶体管;V3选用C8050或S8050等型号的硅NPN晶体管。 IC选用CD4017或CC4017型十进制计数/分配器集成电路。 S1-S9均选用动合 (常开)型微动按钮。

四位数字密码锁

数字电路基础实验设计报告班级:521 姓名:李世龙 学号:2010052106 设计题目:四位数字密码锁 指导老师:张光普

四位密码锁 一设计任务:通过组合逻辑电路来实现四位密码锁功能。当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。密码的输入由开关的闭合与断开控制高低电平进行密码的输入。 二设计原理: 开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。其他的门电路来实现密码锁转换功能。

真值表 J5 J1 J2 J3 J4 LEDBUZZE R 0 ×××× 1 1 1 0 0 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 01 1 0 1 0 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 1 1 0 0 10 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 三测量和调试结果: 当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。 按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作 设计任务完成 四参考文献

电子密码锁使用说明

基于51单片机的简易电子密码锁 使用说明 一、实现功能: 1、设置6位密码,密码通过键盘输入,若密码正确,则将锁打开。 2、密码可以由用户自己修改设定(只支持6位密码),锁打开后 才能修改密码。修改密码之前必须再次输入密码,在输入新密 码时候需要二次确认,以防止误操作。 3、报警、锁定键盘功能。密码输入错误显示器会出现错误提示, 若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。 4、AT24C02保存密码,支持复位保存,掉电保存功能。 二、按键说明 按键定义图

如图示:采用4X4键盘输入,键盘对应名称如下: 1 2 3 A 4 5 6 B 7 8 9 C * 0 # D 其中,【0—9】为数字键,用于输入相应的密码, 【*】号键为取消当前操作 【#】号键为确认 【D】键为修改密码 其它键无功能及定义 三、作用说明: 密码锁初始密码为:000000. 1、开锁:插上电源后,程序自动调入初始密码,此时依次输 入:000000,然后按【#】(确认)键,此时锁会打开,可以 看到显示open,密码锁打开。(如为自己焊接,请首次使用 输入:131420,对密码进行初始化,当显示出现:initpassword, 证明密码初始化完成,此时初始密码即为:000000)。 2、退出并关锁:按下【*】(取消)键,此时锁关闭,所有输 入清除。 3、修改密码:在开锁状态下,再次输入正确的密码并按下【#】 (确认)键,此时听到两声提示,输入新的六位密码并按【D】 (重设)键,再重复输入一次新密码并按【D】,会听到两

声提示音,表示重设密码成功,内部保存新密码并存储到AT24C02。(如两次输入的新密码不一样,则重设密码失败)。 4、报警并锁定键盘:当输入密码错误后,报警并锁定键盘3 秒,如3秒内又有按键,3秒再启动。 5、当重置新密码时,新密码会保存于AT24C02存储器里。 有任何问题请与我联系: QQ:331091810 E_mail:331091810@https://www.wendangku.net/doc/6017144899.html, 旺旺ID:j_yongchao2008 淘宝店址:https://www.wendangku.net/doc/6017144899.html,/

密码锁课程设计报告

江苏师范大学科文学院本科生课程设计 课程名称:数字电子技术基础 题目:数字密码锁 专业班级: 14电信2班 学生姓名:王坤 学生学号: 148326228 日期: 2016年6月25日 指导教师:魏明生

指导教师签字: 年月日

目录 摘要...................................................................................................II Abstract................................................................................................ II 1 绪论.. (1) 1.1 背景 (1) 1.2 目的 (1) 2 设计方案简述 (1) 2.1 设计任务 (1) 2.2 设计原件需求 (2) 3 详细设计 (2) 3.1 设计原理图 (2) 3.2 密码验证模块 (3) 3.3 计时模块 (4) 3.4 锁定输出 (5) 3.5 逻辑组合 (6) 4 设计结果及分析 (7) 4.1 总电路图 (7) 4.2 问题解决与分析 (7) 4.3 测试数据 (9) 5总结 (10) 参考文献 (11)

摘要 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替了传统的机械式密码锁,电子密码锁具有安全性高、成本低、功耗低、易操作等优点,受到广大用户的欢迎。本次课程设计简述数字密码锁的设计、制作过程,用74LS138为3线-8线译码器、555为计时模块、D触发器74LS175为锁定输出、74LS00为逻辑组合模块构成该数字密码锁的设计思想和实现过程。该课程设计可以预先设定密码和修改密码等方便操作处理,通过发光二级管颜色的转变辨别密码的正确和密码锁的开启。通过该课程设计,主要解决与我们平常生活关系密切的密码锁的问题,且制作该设计所需要元器件的成本较低,适合学生对数字电路的实践所用。 关键词:数字密码锁;74LS138;555;74LS175;74LS00 Abstract In daily life and work, security, residential units and departments of the documents, financial statements, and some personal information in order to save more than locking solutions. If the use of traditional mechanical key to unlock, people often need to carry multiple keys, a very inconvenient to use, and after the loss of key security that is greatly reduced. In the field of security and protection, anti-theft alarm function electronic combination lock gradually replace the traditional mechanical combination lock, electronic lock has the advantages of high safety, low cost, low power consumption, easy to operate, welcomed by the majority of users. The curriculum design of digital code lock design, process, using 74LS138 for 3 -8 decoder, 555 for the timing module, D triggers 74LS175 lock output, 74LS00 logic combination module design idea of the digital password lock and implementation process. The curriculum design can be pre-set password and modify passwords and other convenient operation, opening by discerning the password change light emitting two tubes of color and correct password lock. Through the curriculum design, mainly to solve the daily life with our close locks, and making the design required components with low cost, suitable for students to use the practice of digital circuit. Key words: the digital combination lock; 74 ls138; 555; 74 ls175; 74 ls00

密码锁原理

密码锁原理 一、前言 本设计可实现4至6位可调密码密码锁功能,另外加6个按键作为保护密码用,另加了延时密码输入电路,只有在规定时间输入密码才能有效,否则无效。 二、工作原理 本设计的原理较为简单,整体电路主要由输入按键及三个芯片等组成,用D触发器组成双稳态电路,共三级双稳态,逐级相连,只有在上级双稳态触发情况下,下一级的双稳态才能被触发。 两个D触发器的SD端(置1端)都和4017的~CP0端接地,同时两个D触发器的CD端(清零端)都接至一块和6个密码保护按键相连,D触发器及4017的时钟端都非别接至一个按键,具体接线如原理图所示。 现来讲解其主要原理,先讲其限时功能,如图在三极管BCX38B的基极限流电阻前有一个并联至地的电解电容及回路可调电阻,当按下与之相连的按键后由于电容的充电及放电的需要一定的时间,三极管BCX38B导通,从而三极管的集电极对地电压几乎为零,于是D触发器的CD端无效,在基极电流足够大的情况下,三极管集电极电压保持在不对CD端有影响的状态,从而在按下与第一个D触发器时钟端的按键后,D触发器翻转 (Q=1),然后按下与第二个D触发器时钟端相连的安检后,第二

个D触发器翻转(~Q=0),使4017的MR(复位端)无效,然后按下与4017时钟端CP1相连的按键,是4017的Q1端输出高电平,按两次使Q2输出高电平,按三次使Q3端输出高电平,使三极管2SC1815导通,继电器常开端闭合,实现4~6位可设置密码,如果电容放电时间快,在没有结束密码输入的情况下,基极电流不足以维持导通,集电极电压变大从而是D触发器的CD端有效,回到初始状态(D触发器Q=0,~Q=1)。 三、电路原理图

四位电子密码锁.

专业工程设计说明书 题目:4位电子密码锁设计 院(系):电子工程与自动化学院 专业:测控技术与仪器(卓越) 学生姓名:蔡伟航 学号:1200820206 指导教师:黄源 2015年1月16日

锁是置于可启闭的器物上,用以关住某个确定的空间范围或某种器具的,必须以钥匙或暗码打开的扣件。锁具发展到现在已有若干年的历史了,人们对它的结构、机理也研究得很透彻。随着社会科技的进步,锁已发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。当今安全信息系统应用越来越广泛,特别在保护机密、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的组成部分,因此研究它具有重大的现实意义。 本设计由主控芯片51单片机,单片机时钟电路,矩阵键盘,数码管的动态显示,报警电路和开锁电路组成。单片负责控制整个系统的执行过程。 关键词:AT89S51、时钟电路、矩阵键盘、数码管的动态显示、报警电路,开锁电路。

引言 (1) 1课程设计题目 (1) 2 系统设计 (2) 2.1 总的系统设计结构图 (2) 2.2系统硬件设计 (2) 3 AT89S52最小系统设计 (3) 3.1 时钟电路设计 (3) 3.2 复位电路设计 (4) 4 键盘及显示报警电路的硬件设计 (5) 4.1 矩阵键盘电路设计 (5) 4.2 显示电路硬件设计 (5) 4.3 继电器驱动电路及报警电路设计 (6) 4.3.1继电器简介 (6) 4.3.2 固态继电器驱动电路设计 (7) 4.3.3报警提示电路 (7) 5 系统软件设计 (8) 5.1主程序模块 (9) 5.2密码比较判断模块 (9) 5.3键盘扫描模块 (9) 5.4修改密码模块 (10) 5.5数码管液晶显示模块 (11) 6 总体调试 (11) 7 总结 (12) 参考文献 (13) 附录 (14)

门禁电子密码锁说明书

《微机原理及接口技术》 课程设计说明书 课题:门禁电子密码锁 专业:通信工程 班级:**** 姓名:****** 学号: 指导老师:

目录 一、设计任务 (3) 1、设计题目 (3) 2、设计目的 (3) 3、设计任务 (3) 4、设计基本要求 (3) 二、总体方案设计与论证 (4) 1、总体方案设计 (4) 2、按键消抖方案设计与论证 (4) 3、按键检测方案设计与论证 (5) 4、数码管显示方案设计 (5) 三、总框图及总体软件设计说明 (5) 1、系统总框图 (5) 2、硬件模块功能说明 (5) 3、软件设计说明 (6) 四、局部程序设计说明 (7) 1、按键检测程序 (7) 2、拆字程序 (8) 3、显示程序 (10) 4、核对密码程序 (11) 5、中断服务程序 (14) 五、系统资源分配 (15) 六、系统功能与操作说明 (16) 七、调试记录及调试结果 (17) 八、课程设计总结 (17) 附录 (18)

一、设计任务 1、设计题目:门禁电子密码锁 2、设计目的:通过小型微机应用产品的设计与调试过程,运用《微机原理 及接口技术》课程所学的基本知识,在设计中加以应用,进而得到理解、巩固和提高发展,通过实践的过程学习掌握分析与解决实际问题的方法与手段,提高设计、编程与调试的实际动手能力,作为工程技术工作的一次基本训练。 3、设计任务:设计一个以单片机为核心的门禁密码锁。具有密码开锁,不 同权限的密码修改、密码输入安全设置、开门时段管理等功能。编程并在单片机实验板上模拟调试实现。 4、设计基本要求: 1、开机进行接口部件及数码显示器、指示灯、讯响器等自检。 2、密码分为管理员密码和用户密码:管理员密码为8位0~9的数字,而 用户密码为6位0~9的数字。 3、设置“0”~“9”的数字键及“ESC”和“ENT”等功能键。对按键输入 信号须进行软件消抖处理。 4、工作模式: (1)常态:数码管显示时钟。 (2)开锁模式:需输入6位数字为0~9的密码进行开锁。密码数字可以由管理员事先设置保存共普通用户使用。 (3)管理员操作模式:需输入8位0~9的密码,可以进行修改用户密码、修改管理员密码、修改进门时段等功能。 5、常态下,八位LED 7段数码管显示时钟信息可包括小时、分钟、秒钟。 6、只要按下0~9的数字键则转入开锁状态,每按一个数字键使数码管从 左到右逐次显示“—”。 7、如果输入的密码为6位则和用户密码进行匹配,匹配一致则开锁,如 果输入的密码为8位则和管理员密码进行匹配,匹配一致则进入管理员操作模式。 8、在密码输入状态下,输入满6位或8位密码数据后,按“ENT”键才能 进行密码匹配,如果没满6位或8位数字密码,按“ENT”则不作响应。 9、输入的用户密码如果匹配则相应指示灯亮并且电动开门电磁铁线圈通 电1S开门后返回常态;如果输入不正确,则提示“E”,3S后返回常态,等待下一轮的密码输入。

电子电路课程设计密码锁(满分实验报告)

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单……………………………………………19四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如 果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输入 密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。 开锁green 键盘密码锁 错误red 4

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

电子密码锁_大连理工大学数电课设

大连理工大学本科实验报告 题目:电子密码锁 课程名称: 数字电路课程设计 学院(系): 电子信息及电气工程学部 专业:电气工程及其自动化 班级: 学生姓名: 学号: 完成日期: 成绩:

题目:电子密码锁 1 设计要求 设计一个8位串行数字锁,并验证其操作。具体要求如下:1.开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。 2.开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。 3.串行数字锁的报警方式是点亮77指示灯LF,并使喇叭鸣叫来报警,报警动作响1分钟,停10秒钟后再重复出现,直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。 4.报警器可以兼作门铃用,门铃响的时间通常为7~10秒。 2 设计分析及系统方案设计 系统的结构图如下图所示: 本实验要求串行输入八位密码,密码可以随意设置,可以任意更改, 并且能够存储.而且能够显示出当前已经输入或者设置的位数,待输入八位后通过比较电路与预先设置的密码进行比较.如果输入的密码与存储的密码相同锁体打开如果输入的密码与存储的密码不同则报警系统打开发出警报.由于还要求有门铃功能所以增加一个门铃输入当门铃按下后门铃响十秒钟。

对于密码存储以及密码输入比较部分主要由load 控制。 load为0时系统功能为设置密码,此时只需要顺序串行输入八位0/1密码即可,系统将输入的密码自动保存在存储器内以便于输入的密码进行比较,当load为1时系统功能为输入密码,此时只需要顺序串行输入八位0/1即可,待输入八位后系统自动将刚输入的密码与存储器内的密码进行比较如果密码正确则开锁信号lt为1,否则警报信号lf 和响铃信号alm为1 lt为0 对于门铃部分当检测到press信号的下降沿时门铃开始响,计数器开始计数,此时始终脉冲频率为50MHz/16MHz=3.125Hz ,此时当计数器为30时既时间为30/3.125=9.6秒时门铃自动关闭。 3系统以及模块硬件电路设计 系统电路图 系统电路图如上如所示其中clk1脚接入50MHz的方波,load有两种状态----高电平和低电平状态。k0 k1 press clr 分别接到四个去抖开关上开关按下去为低 电平lt alm2 lf alm分别接到四个发光二级管上,当输出为1时二极管发光。

单片机电子密码锁课程设计

单片机技术及应用综合训练 (设计报告)

前言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变得尤为突出。在科学技术不断发展的今天,电子密码防盗锁作为防盗卫士的作用显得日趋重要。 本文从经济实用的角度出发,系统由STC89C52与低功耗CMOS型E2PROM AT24C02作为主控芯片与数据存储器单元,结合外围的键盘输入、LCD显示、报警、开锁等电路模块。它能完成以下功能:正确输入密码前提下,开锁;错误输入密码情况下,报警;密码可以根据用户需要更改。用C语言编写的主控芯片控制程序与EEPROM AT24C02读写程序相结合,并用Keil软件进行编译,设计了一款可以多次更改密码,具有报警功能的电子密码控制系统。 本密码锁具有设计方法合理,简单易行,成本低,安全实用,保密性强,灵活性高等特点,具有一定的推广价值。 关键词:电子密码锁、报警、液晶显示

目录 一、选题要求 (1) 二、硬件电路设计 (1) 2.1 51单片机 (2) 2.2 键盘电路 (2) 2.3 液晶显示电路 (2) 2.4 警报电路 (3) 2.5 密码储存电路 (3) 2.6 晶振、复位及关锁 (3) 三、软件设计 (4) 四、软硬件调试结果 (9) 4.1 电路总原理图 (9) 4.2 调试结果 (10) 五、总结 (11)

一、选题要求 本文从经济实用的角度出发,设计采用单片机为主控芯片,结合外围电路,组成电子密码控制系统,密码锁共6位密码,每位的取值范围为0~9,用户可以自行设定和修改密码。用户想要打开锁,必先通过提供的键盘输入正确的密码才可以,密码输入错误有提示,为了提高安全性,当密码输入错误三次将报警,期间输入密码无效,以防窃贼多次试探密码。6位密码同时输入正确,锁才能打开。锁内有备用电池,只有内部上电复位时才能设置或修改密码,因此,仅在门外按键是不能修改或设置密码的,因此保密性强、灵活性高。其特点如下: 1) 保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2) 密码可变,用户可以随时更改密码,防止密码被盗,同时也可以避免因人员的 更替而使锁的密级下降。 3) 误码输入保护,当输入密码多次错误时,报警系统自动启动。 4) 无活动零件,不会磨损,寿命长。 5) 使用灵活性好,不像机械锁必须佩带钥匙才能开锁。 6) 电子密码锁操作简单易行,一学即会。 二、硬件电路设计 下面是整个设计的流程图:

单片机密码锁设计(汇编语言-)带原理图电路图-

单片机密码锁设计(汇编语言)带原理 图电路图 什么是密码锁 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。 硬件设计 基于AT89C51为核心的单片机控制的电子密码锁设计。本设计能完成开锁,修改密码,密码错误报警,LCD显示密码等基本的密码锁功能。设计的电路框如图1。 《 , 图一 & 电路的功能单元设计

1.单片机AT89C51组成基本框图 单片机引脚介绍 P0:P0口是一个漏极开路的8位双向I/O口。在访问片外存储器时P0分时提供低8位地址线和8位双向数据线。当不接片外存储器或不扩展I/O口时,P0可作为一个通用输入/输出口。P0口作输入口使用时,应先向口锁存器写“1”,P0口作输出口时,需接上拉电阻。 P1:P1口是一个内部提供上拉电阻的8位双向I/O口,因此它作为输出口使用时,无需再外接上拉电阻,当作为输入口使用时,同样也需先向其锁存器写“1”。 & P2:P2口也是一个内部提供上拉电阻的8位双向I/O口,在访问片外存储器时,输出高8位地址。 P3:P3口除了一般的准双向通用I/O口外,还有第二功能。 VCC:+5V电源 VSS:接地 ALE:地址锁存器控制信号。在系统扩展时,ALE用于控制把P0口输出的低8位地址锁存起来,以实现低位地址和数据的隔离。此外,由于ALE是以晶振1/6的固定频率输出的正脉冲,因此,可作为外部时钟或外部定时脉冲使用。 /PSEN:外部程序存储器读选通信号。在读外部ROM时,/PSEN有效(低电平),以实现外部ROM单元的读操作。 /EA:访问程序存储控制信号。当/EA信号为低电平时,对ROM的读操作限定在外部程序存储器;当/EA信号为高电平时,对ROM的读操作是从内部程序存储器开始,并可延至外部程序存储器。 RST:复位信号。当输入的复位信号延续两个机器周期以上的高电平时即为有效,用完

密码锁课程设计

课程设计报告 课程设计题目:4位串行数字密码锁 学号 学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL 语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁 Verilog HDL 2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA系统采用硬件描述语言Verilog按模块化方式进行设计,并用modersim软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: Set

本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag产生一个矩形波,作为连接模块的触发信号。同时key_value值为所按下键的编码值,与flag一同传入连接模块。 实际设计接口图: 当输出kevalue:11值的时候,flag再次出现上跳沿。实际上,上面的图写的测试文件是有一点错误的,当a扫描到第三个值(01)时,b在实际电路中应该是01而不是11,此时根据程序flag应置为1,当然此时flag本来就是1,不会发生错误。在实际中,时钟频率跳的如此之快,人按一下按键的持续时间还是有的,所以flag应在按键按完后再下降下来。不然多出很多无用的矩形波,这个装置就没用了。 3.2连接模块 连接模块接口图: keyvalue 送入连接模块进行运算,当连续四个0和1键按下时,中,如果按下的是set键,则set置1,如果按下的是0键,则a_led置1,若是1键,则b_led 置1。 这里有一个需要注意的点是,当第一次按了0键后马上按reset键,再按一下1键时,a的值是1,而不是0。每次按了reset或set,a,b,c,d都是要重新赋值的,这才符合实际情况。 3.3控制模块: 因为这个密码锁是循环使用的,就一定有不同的状态。这里采用有限状态机的方法进行设计。所以把开锁过程分为三个部分: 1.等待输入状态; 2.重设密码状态; 3.输出结果状态; 状态转换图如下所示: 控制模块接口图: flag2 ena

四位电子密码锁

沈阳 课程设计 (说明书) 四位电子密码锁的设计 班级/ 学号 学生姓名 指导教师

课程设计任务书 课程名称数字逻辑课程设计 课程设计题目四位电子密码的设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个四位电子密码锁电路,技术指标如下: ①通过键盘电路输入四位密码。初始密码0000设定。 ②密码输入正确后,锁打开。在锁打开时,才可修改密码。 ③密码输入错误有适当的提示。三次错误后,具有声、光报警功能。二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 彭介华.电子技术课程设计指导[M].北京:高等教育出版社 2. 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社 3. 梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社 4. 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社 5. 谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社 五、按照要求撰写课程设计报告 成绩指导教师日期

一、概述 随着人们生活水平的提高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,因此电子密码锁在实现家庭防盗这一问题也变得尤其的突出,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。这种锁是通过键盘输入一组密码来达到开锁的目的。本课程设计一个电子密码锁,要求是设定4位的密码锁,输入4位输入密码,然后与已设置好的密码相比较,密码输入正确后即可开锁,开锁后才可执行密码修改的功能,如果输入三次错误密码就不断报警(包括声音和光两种报警方式)。 二、方案论证 设计一个四位电子密码锁,通过输入四位密码,并且一位一位的进行比较,能够在输入正确的密码后打开锁,并且只有在打开锁之后判断是否修改密码,如果输入密码错误,则记录一次输入错误信息,如果输入三次错误密码,则有声音(蜂鸣)或者光(灯亮)报警。 方案一: 本方案通过控制开关键入密码,通过74LS148把相应的十进制数转化成相应的四位二进制码,与寄存在74LS195的原始密码通过数值比较器74LS85进行比较,如果四位密码都输入正确,则提示锁打开,然后提示可以修改密码;如果密码输入错误,则记录一次,当输入错误三次,则有报警提示。 图1 四位电子密码锁电路的原理框图

基于VHDL的电子密码锁的设计(1)

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。

密码锁verilog课程设计

课程设计报告课程设计题目:4位串行数字密码锁 学号:201420130326

学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁Verilog HDL

2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求 开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA 系统采用硬件描述语言Verilog 按模块化方式进行设计,并用modersim 软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: flag Set Reset key_value

设计原理: 本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag 产生一个矩形波,作为连接模块的触发信号。同时key_value 值为所按下键的编码值,与flag 一同传入连接模块。 实际设计接口图: flag key_value 键盘模块仿真图:

课程设计:电子密码锁(附原理图及PCB)

电子密码锁 摘要:本设计是通过判断输入密码正确与否从而控制相应电路工作,完成开锁、报警、锁定键盘等任务的电子密码锁。它具有预设密码功能,超时报警功能,键盘锁定功能,错误提示功能等。预设密码和输入密码是用两个八位的锁存器实现,密码判断是由数值比较器电路组成,超时报警功能是用NE555所构成的单稳态触发器实现,超时次数及密码错误次数由计数电路记录,而键盘锁定功能则是通过电路的逻辑关系巧妙控制锁存器的输出使能端实现的。 关键词:电子锁,密码锁,键盘锁定,报警电路 Abstract:This design is to control the corresponding circuit by judging the password correctly or not work, do lock, alarm, lock the keyboard and other electronic combination lock. It has default password function, timeout alarm function, and key lock function, error function, etc. Default password and enter the password is to use two of the eight latch, password is consist of numeric comparator circuit, timeout alarm function is formed by using NE555 monostable trigger, timeout number and password error number recorded by counting circuit, and key lock function is through the logical relationship of the circuit can control the output of the latch makes the server-side implementation. Keywords:Electronic lock,Combination lock,Keyboard lock, warning circuit.

相关文档