文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑实验指导书(multisim)汇总

数字逻辑实验指导书(multisim)汇总

数字逻辑实验指导书(multisim)汇总
数字逻辑实验指导书(multisim)汇总

数字电路与逻辑设计

实验指导

2015年1月

实验一集成电路的逻辑功能测试

一、实验目的

1、掌握Multisim软件的使用方法。

2、掌握集成逻辑门的逻辑功能。

3、掌握集成与非门的测试方法。

二、实验原理

TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic )简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55—±1250C,电源电压工作范围为5V±10%V。

54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。

TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74)系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。

它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。

图1.1 TTL 基本逻辑门电路

与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。

三、实验设备

1、硬件:计算机

2、软件:Multisim

四、实验内容及实验步骤

1、基本集成门逻辑电路测试 (1)测试与门逻辑功能

74LS08是四个2输入端与门集成电路(见附录1),请按下图搭建电路,再检测与门的逻辑功能,结果填入下表中。

2.5 V

(2)测试或门逻辑功能

74LS32是四个2输入端或门集成电路(见附录1),请按下图搭建电路,再检测或门的逻辑功能,结果填入下表中。

2.5 V

(3)测试非门逻辑功能

74HC04是6个单输入非门集成电路(见附录1),请按下图搭建电路,再检测非门的逻辑功能,结果填入下表中。

VCC

5V J5

Key = Space

X3

2.5 V

VCC 0

U3A 74HC04D_6V 7

8

非门74HC04

(4)测试与非门逻辑功能

74LS00是四个2输入端与非门集成电路(见附录1),请按下图搭建电路,再检测与非门的逻辑功能,结果填入下表中。

2.5 V

(5)测试或非门逻辑功能

74LS02是四个2输入端或非门集成电路(见附录1),请按下图搭建电路,再检测或非门的逻辑功能,结果填入下表中。

2.5 V

(6)测试异或门逻辑功能

74LS86是四个2输入端异或门集成电路,请按下图搭建电路,再检测异或门的逻辑功能,结果填入下表中。

2.5 V

(7)测试同或门逻辑功能

74LS266是四个2输入端同或门集成电路,请按下图搭建电路,再检测同或门的逻辑功能,结果填入下表中。

2.5 V

2、利用与非门组成其他逻辑门电路 ⑴组成与门电路

将74LS00中任意两个与非门组成如下图所示的与门电路,输入端接逻辑电平开关,输出端接指示灯LED ,拨动逻辑开关,观察指示灯LED 的亮与灭,测试其逻辑功能,结果填入下表中。

2.5 V

2.5 V

⑵组成或门电路

将74LS00中任选三个与非门组成如下图所示的或门电路,输入端接逻辑电平开关,输出端接指示灯LED ,拨动逻辑开关,观察指示灯LED 的亮与灭,测试其逻辑功能,结果填入下表中。

2.5 V

⑶组成异或门电路

将74LS00中的与非门按照下图所示的电路连线,输入端接逻辑电平开关,输出端接指

示灯LED,拨动逻辑开关,观察指示灯LED的亮与灭,测试其逻辑功能,结果填入下表中。

2.5 V

五、思考题

请用或非门实现其他逻辑门电路,如与门、或门、非门、异或、同或。

实验二组合逻辑电路分析与设计

一、实验目的

1、掌握Multisim软件对组合逻辑电路分析与设计的方法。

2、掌握利用集成逻辑门构建组合逻辑电路的设计过程。

3、掌握组合逻辑电路的分析方法。

二、实验原理

全加全减器是一个实现一位全加和全减功能的组合逻辑电路,通过模式变量M来控制全加/全减算术运算。本实验可以使用74LS00,74LS86芯片来实现。A i和B i分别表示二进制数A与B的第i位,C i表示A i-1和B i-1位全加时产生的进位,C i+1表示第A i和B i位全加时产生的进位,

函数S和C i+1的卡诺图化简后为:

S i=A i⊕B i⊕C i

C i+1=B i C i+(C i+B i)(M⊕A i)=()

?⊕????

BC M A B B C C

三、实验设备

1、硬件:计算机

2、软件:Multisim

四、实验内容及实验步骤

1、根据实验原理构建全加全减器功能电路并测试逻辑功能。

Si Co

2、利用逻辑分析仪测试第1步电路的功能及函数表达式。

说明:上面的第一个图是测试C i+1,下面的图是测试S的,要求分析出真值表及相应函数表达式及最简函数表达式。

3、利用设计全加全减器功能电路并测试逻辑功能。

4、利用逻辑分析仪测试第3步电路的功能。(参考设计图略)

五、思考题

1、设X=AB,请用与非门实现Y=X3的组合逻辑电路。

2、设计一个血型配对指示器。输血时供血者和受血者的血型配对情况如图所示,即(1)同一血型之间可以相互输血;(2)AB型受血者可以接受任何血型的输出;(3)O型输血者可以给任何血型的受血者输血。要求当受血者血型与供血者血型符合要求时绿指示灯亮,否

则红指示灯亮。

实验三同步时序逻辑电路分析与设计

一、实验目的

1、掌握基本触发器的逻辑功能。

2、掌握集成触发器的功能和使用方法。

3、掌握同步时序逻辑电路的设计与分析的方法。

二、实验原理

触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。

1、JK触发器

在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图4-2所示:

图4-2 JK触发器的引脚逻辑图

JK触发器的状态方程为:

+=+

1

n

Q JQ KQ

其中,J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输

Q和Q为两个互补输出端。通常把Q=0、Q=1的状态定为触入端时,组成“与”的关系。

Q=1,Q=0定为“1”状态。JK触发器常被用作缓冲存储器,移位寄发器“0”状态;而把

存器和计数器。

2、集成计数器

计数器是数字系统中用的较多的基本逻辑器件,它的基本功能是统计时钟脉冲的个数,即实现计数操作,它也可用与分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。

计数器的种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;按计数过程中数字增减趋势的不同,可分为加法计数器、减法计数器和可逆计数器;还有可预置数等等。

三、实验设备与器件

1、硬件:计算机

2、软件:Multisim

四、实验内容及实验步骤

1、验证 JK 触发器逻辑功能分析

将 74LS112 的D R 、D S 、J 和 K 连接到逻辑开关,Q 和 Q 端分别接逻辑电平显示端口,CP 接单次脉冲,接通电源,按照表中的要求,改变D R 、D S 、J 、K 和 CP 的状态。在 CP 从 1 到 0 跳变时,观察输出端Q n+1 的状态,并将测试结果填入表。

2.5 V

2、采用JK 触发器的模4可逆计数器的设计与分析

模4计数器要求在X 输入为0时,按照自加1递增计数,当X 输入为1时,按照自减1递减计数,按照同步时序逻辑电路设计方法和步骤完成电路设计,并分析电路功能。

五、思考题

1、请用D触发器(74LS74)实现以上模4可逆计数器功能。

2、请用JK触发器实现011序列检测器的功能,输入序列如101011100110

实验四异步时序逻辑电路分析与设计

一、实验目的

1、进一步掌握基本触发器的逻辑功能。

2、进一步掌握集成触发器的功能和使用方法。

3、掌握异步时序逻辑电路的设计与分析的方法。

二、实验原理

触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。

1、JK触发器

在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图4-2所示:

图4-2 JK触发器的引脚逻辑图

JK触发器的状态方程为:

+=+

1

n

Q JQ KQ

其中,J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输

Q和Q为两个互补输出端。通常把Q=0、Q=1的状态定为触入端时,组成“与”的关系。

Q=1,Q=0定为“1”状态。JK触发器常被用作缓冲存储器,移位寄发器“0”状态;而把

存器和计数器。

2、集成计数器

计数器是数字系统中用的较多的基本逻辑器件,它的基本功能是统计时钟脉冲的个数,即实现计数操作,它也可用与分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。

计数器的种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;按计数过程中数字增减趋势的不同,可分为加法计数器、减法计数器和可逆计数器;还有可预置数等等。

三、实验设备与器件

1、硬件:计算机

2、软件:Multisim

四、实验内容及实验步骤

1、验证 JK 触发器逻辑功能分析

将 74LS112 的D R 、D S 、J 和 K 连接到逻辑开关,Q 和 Q 端分别接逻辑电平显示端口,CP 接单次脉冲,接通电源,按照表中的要求,改变D R 、D S 、J 、K 和 CP 的状态。在 CP 从 1 到 0 跳变时,观察输出端Q n+1 的状态,并将测试结果填入表。

2.5 V

2、采用JK 触发器的模4计数器的设计与分析

模4计数器要求在X 输入为0时,按照自加1递增计数,当X 输入为1时,按照自减1递减计数,按照同步时序逻辑电路设计方法和步骤完成电路设计,并分析电路功能。

五、思考题

1、请用D触发器(74LS74)实现以上模4计数器功能。

2、请用JK触发器实现011序列检测器的功能,输入序列如101011100110

实验五集成电路多种计数器综合应用

一、实验目的

1. 熟悉集成计数器逻辑功能和各控制端作用。

2. 掌握计数器使用方法。

二、实验仪器及材料

1、硬件:计算机

2、软件:Multisim

3、器件

74LS290 二一五十进制计数器 2片

共阴LED数字显示管 2只

三、实验内容及步骤

1. 集成计数器74LS290功能测试。

74LS290是二一五一十进制异步计数器,逻辑简图为图5.1所示。

74LS290具有下述功能:

图5.1 74LS290逻辑图

直接置0(R0(1),R0(2)=1),直接置(S0(1),S0(2)=1)

二进制计数(CP1输入Q A输出)

五进制计数(CP1输入Q A Q B Q C输出)

十进制计数(两种接法如图5.2A、B所示)

按芯片引脚图分别测试上述功能,并填入表5.1、表5.2、表5.3中。

图5.2 十进制计数器

2. 计数器级连

分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。

(1)画出连线电路图。

(2)按图接线,并将输出端接到LED数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。

(3)画出四位十进制计数器连接图并总结多级计数级连规律。

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

Multisim实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器静态工作点的仿真方法及其对放大器性能的影响 3、学习放大器静态工作点、放大电压倍数、输入电阻、输出电阻的仿真方法,了解共射极 电路的特性 二、虚拟实验仪器及器材 双踪示波器、信号发生器、交流毫伏表、数字万用表 三、实验步骤 4、静态数据仿真 电路图如下:

当滑动变阻器阻值为最大值的10%时,万用表示数为。 仿真得到三处节点电压如下: 则记录数据,填入下表: 仿真数据(对地数据)单位:V 计算数据 单位:V 基极V (3) 集电极V (6) 发射级V (7) Vbe Vce Rp 10K Ω 5、 动态仿真一 R151kΩ R2 5.1kΩR3 R5 100kΩ Key=A 10 % V110mVrms 1000 Hz 0° V212 V C110μF C210μF C347μF 2Q1 2N2222A 3 R7100Ω8 1 XSC1 A B Ext Trig + + _ _ + _ 746R61.5kΩ 5

(1)单击仪器表工具栏中的第四个(即示波器Oscilloscope),放置如图所示,并且连接电路。 (注意:示波器分为两个通道,每个通道有+和-,连接时只需要连接+即可,示波器默认的地已经接好。观察波形图时会出现不知道哪个波形是哪个通道的,解决方法是更改连接的导线颜色,即:右键单击导线,弹出,单击wire color,可以更改颜色,同时示波器中波形颜色也随之改变) (2)右键V1,出现properties,单击,出现 对话框,把voltage的数据改为10mV,Frequency的数据改为1KHz,确定。 (3)单击工具栏中运行按钮,便可以进行数据仿真。 (4) A B Ext Trig + + _ _+_

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

Multisim仿真实验报告

Multisim仿真实验报告 实验课程:数字电子技术 实验名称:Multisim仿真实验 姓名:戴梦婷 学号: 13291027 班级:电气1302班 2015年6月11日

实验一五人表决电路的设计 一、实验目的 1、掌握组合逻辑电路——五人表决电路的设计方法; 2、复习典型组合逻辑电路的工作原理和使用方法; 3、提高集成门电路的综合应用能力; 4、学会调试Multisim仿真软件,并实现五人表决电路功能。 二、实验器件 74LS151两片、74LS32一片、74LS04一片、单刀双掷开关5个、+5V直流电源1个、地线1根、信号灯1个、导线若干。 三、实验项目 设计一个五人表决电路。在三人及以上同意时输出信号灯亮,否则灯灭,用8选1数据选择器74LS151实现,通过Multisim仿真软件实现。 四、实验原理 1、输入变量:A B C D E,输出:F;

3、逻辑表达式 F= ABCDE+ABCDE+ABCDE+ABCDE+ ABCDE+ ABCDE+ABC DE+ABCDE+ ABCDE+ ABCDE+ABCDE+ABCDE+ ABCDE+ABCDE+ABCDE+ABCDE =ABCDE+ ABCDE+ABCDE+ ABCD+ABCDE+ABCDE+ABCD+ABCDE+ ABCD+ABCD+ABCD 4、对比16选1逻辑表达式,令A3=A,A2=B,A1=C,A0=D,D3=D5=D6=D9=D10=D12=E, D 7=D 11 =D 13 =D 14 =D 15 =1,D =D 1 =D 2 =D 4 =D 8 =0; 5、用74LS151拓展构成16选1数据选择器。 五、实验成果 用单刀双掷开关制成表决器,同意开关打到上线,否则打到下线。当无人同意时,信号指示灯不亮,如下图:

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

基于multisim的晶闸管交流电路仿真实验分析报告

基于multisim的晶闸管交流电路仿真实验报告

————————————————————————————————作者:————————————————————————————————日期:

自动化(院、系)自动化专业112 班组电力电子技术课 学号21 姓名易伟雄实验日期2013.11.24 教师评定 实验一、基于Multisim的晶闸管交流电路仿真实验 一、实验目的 (1)加深理解单相桥式半控整流电路的工作原理。 (2)了解晶闸管的导通条件和脉冲信号的参数设置。 二、实验内容 2.1理论分析 在单相桥式半控整流阻感负载电路中,假设负载中电感很大,且电路已工作于稳态。在u2正半周,触发角α处给晶闸管VT1加触发脉冲,u2经VT1和VD4向负载供电。u2过零变负时,因电感作用使电流连续,VT1继续导通。但因a点电位低于b点电位,使得电流从VD4转移至VD2,VD4关断,电流不再流经变压器二次绕组,而是由VT1和VD2续流。此阶段,忽略器件的通态压降,则ud=0,不会像全控桥电路那样出现ud为负的情况。 在u2负半周触发角α时刻触发VT3,VT3导通,则向VT1加反压使之关断,u2经VT3和VD2向负载供电。u2过零变正时,VD4导通,VD2关断。VT3和VD4续流,ud又为零。此后重复以上过程。 2.2仿真设计

(院、系)专业班组课学号姓名实验日期教师评定 触发脉冲的参数设计如下图

(院、系)专业班组课学号姓名实验日期教师评定 2.3仿真结果 当开关S1打开时,仿真结果如下图

(院、系)专业班组课学号姓名实验日期教师评定 三、实验小结与改进 此次实验在进行得过程中遇到了很多的问题,例如:触发脉冲参数的设置,元器件的选择等其中。还有一个问题一直困扰着我,那就是为什么仿真老是报错。后来,通过不断在实验中的调试发现,这是因为一些元器件的参数设置过小,导致调试出错。总的来说,这次实验发现了很多问题,但在反复的调试下,最后我还是完成了实验。同时,也让我认识到实践比理论更难掌握。通过不断的发现问题,然后逐一解决问题,最后得出自己的结论,我想实验的乐趣就在于此吧。 而对于当开关S1打开时的实验结果,这是因为出现了失控现象。我从书中发现:当一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半波,即半周期ud 为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形 另外,在实验过程中,我们如果进行一些改进:电路在实际应用中可以加设续流二极管,以避免可能发生的失控现象。实际运行中,若无续流二极管,则当α突然增大至180度或触发脉冲丢失时,会发生一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半,即半周期ud为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形。有二极管时,续流过程由二极管完成,在续流阶段晶闸管关断,这就避免了某一个晶闸管持续导通从而导致失控的想象。同时续流期间导电回路中只有一个管压降,少了一个管压降,有利于降低损耗。

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

multisim电路仿真实验报告

模拟电子技术课程 multisim 仿真 一、目的 2.19 利用multisim 分析图P2.5所示电路中b R 、c R 和晶体管参数变化对Q 点、u A ? 、i R 、o R 和om U 的影响。 二、仿真电路 晶体管采用虚拟晶体管,12V C C V =。 1、当5c R k =Ω, 510b R k =Ω和1b R M =Ω时电路图如下(图1): 图 1 2、当510b R k =Ω,5c R k =Ω和10c R k =Ω时电路图如下(图2)

图 2 3、当1b R M =Ω时, 5c R k =Ω和10c R k =Ω时的电路图如下(图3) 图 3 4、当510b R k =Ω,5c R k =Ω时,β=80,和β=100时的电路图如下(图4)

图 4 三、仿真内容 1. 当5c R k =Ω时,分别测量510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 。由于输出电压很小,为1mV ,输出电压不失真,故可从万用表直流电压(为平均值)档读出静态管压降C E Q U 。从示波器可读出输出电压的峰值。 2. 当510b R k =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 3. 当1b R M =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 4. 当510b R k =Ω,5c R k =Ω时,分别测量β=80,和β=100时的C E Q U 和u A ? 。 四、仿真结果 1、当5c R k =Ω,510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 仿真结果如下表(表1 仿真数据)

数字逻辑系统教案

第3章V H D L基础 一、VHDL的优点 1、用于设计复杂的、多层次的设计。支持设计库和设计的重复使用 2、与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。 3、有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的Bug,缩短设计时间,降低成本。 4、更方便地向ASIC过渡 5、VHDL有良好的可读性,容易理解。 二、VHDL与计算机语言的区别 1、运行的基础 计算机语言是在CPU+RAM构建的平台上运行 VHDL设计的结果是由具体的逻辑、触发器组成的数字电路 2、执行方式 计算机语言基本上以串行的方式执行 VHDL在总体上是以并行方式工作 3、验证方式 计算机语言主要关注于变量值的变化 VHDL要实现严格的时序逻辑关系 3.1 VHDL 基本语法 Library IEEE; --使用IEEE库 use IEEE.std_logic_1164.all; --本设计实体开放 IEEE --中 std_logic_1164程序包的所有项目entity eqcomp4 is --实体 port(a, b:in std_logic_vector(3 downto 0);--端口定义 equal :out std_logic); end eqcomp4; --实体结束architecture dataflow of eqcomp4 is --结构体

begin --关键字begin equal <= ‘1’ when a=b else ‘0’; --功能描述语句 End dataflow; --结构体结束 3.1.1 组合电路描述 在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路称为组合逻辑电路。 组合逻辑电路的特点: (1)输出与输入之间没有反馈延迟通路; (2)电路中不含记忆元件。 一、二选一多路选择器 [提问] 二选一多路选择器输入输出关系? [提问] 二选一多路选择器在传统数字电路中的实现方法? 1、根据真值表化简后采用基本门电路数字芯片来实现 2、采用专门多路选择器数字芯片来实现 [分析程序]二选一多路选择器的VHDL程序(例3-1)、(例3-2)、(例3-3),并比较实现同一个功能的三个VHDL程序相同点和不同点,最后用QUARTUSII进行功能时序波形仿真来验证程序实现的功能是否符合二选一多路选择器的功能 3.1.2 VHDL结构 一个VHDL程序具有五个基本元素: –Entity(实体):实体用于描述设计系统的外部接口信号。 –Architecture(结构体):结构体用于描述系统的行为、系统数据的流程或者系统组织结构形式。 –Configuration(配置):配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。 –Package(包集合):包集合存放各设计模块能共享的数据类型、常数、子程序等。 –Library(库):库用于存放已编译的实体、构造体、包集合、配置。

相关文档
相关文档 最新文档