文档库 最新最全的文档下载
当前位置:文档库 › 模拟集成电路设计软件的使用教程

模拟集成电路设计软件的使用教程

模拟集成电路设计软件的使用教程
模拟集成电路设计软件的使用教程

模拟集成电路设计目录

实验一自上而下(Top-Down)的电路设计 3

Lab 1.1 启动软件 3

Lab 1.2 自上而下的系统级仿真 3

Lab 1.3 电路图输入 7

Lab 1.4 模块的创建 10

Lab 1.5 电源的创建 12

Lab 1.6 建立运放测试电路 14

实验二使用Spectre Direct进行模拟仿真 17 Lab 2.1 运行仿真 17

Lab 2.2 使用激励模板 28

Lab 2.3 波形窗的使用 32

Lab 2.4 保存仿真状态 36

Lab 2.5 将仿真结果注释在电路图窗口 37

实验一自上而下(Top-Down)的电路设计

Lab 1.1 启动软件

实验目的:

掌握如何启动模拟电路设计环境.

实验步骤:

1. 进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端.

2. 进入教程所在目录后,输入命令 cd Artist446 (注意:cd后必须有空格;命令行大小写敏感)

3. 在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What’s New窗口,可使用File-Close命令关闭.

Lab 1.2 自上而下的系统级仿真

实验目的:

掌握如何对含AHDL模块的模块级设计进行仿真.

实验步骤:

1. 在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager).

2. 在库管理器中,用鼠标左键选中training,则cell中会显示出training 库中所有的cell;在training的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路:

3. 将鼠标置于图中peakDetectv模块上,当该模块四周出现一高亮黄色虚线框时,点击左键选中该模块,则模块四周线框变为白色实线框.

4. 选择Design-Hierarchy-Descend Edit,弹出Descend对话框,将View Name设置为schematic,然后点击OK.则出现peakDetectv模块的电路图:

分析该电路图,图中有两个运算放大器,两个二极管,一个nmos晶体管和一个

电阻.除了电阻和nmos器件,所有其余的器件都是用Verilog-A(一种模拟HDL语言)编写的.

使用Verilog-A语言支持自上而下的设计方法.

5. 选中peakDetectv电路图中的Ampv模块,Design-Hierachy-Descend Edit,在Descend对话框中将View Name设置为veriloga,点击OK.将出现文本编辑窗,可对窗内的文本进行编辑.退出该编辑窗可敲击键盘左上角的Esc键,然后在文本编辑窗中输入:q!,回车即可.

6. 在电路图窗口选择Tool-Analog Environment,弹出模拟设计环境仿真(Analog Design Environment Simulation)窗口,同时可再次弹出peakTestv电路图.

7. 在该仿真窗口中选择Setup-Simulator/Directory/Host;在随后出现的Choosing Simulator对话框中,将仿真器(Simulator)设置为spectre,点击OK.

8. 在该仿真窗口中选择Setup-Model Libraries,弹出模型库建立(Models Library Setup)对话框;如图,在该对话框的Model Library File中如图输入后,点击Add,然后OK.

9. 在该仿真窗口中,点击Choose Analysest图标

,弹出Choosing Analyses框;如图所示,选择tran和Enabled,截止时间写入390u;然后点击OK

10. 如步骤4所示,打开peakDetectv的电路图,并在仿真窗口中选择

Outputs-To Be Plotted-Select On Schematic.按照电路图窗口底部的命令行提示,

左键选中图中与标有vinput,vcap和vcontrol的管脚相连的连线,这些被选中的连

线会以特殊的颜色显现出来.

11. 将鼠标置于电路图窗口中,点击Esc键.注意在仿真窗口输出部分的更新

信息是否如下图所示:信号vcontrol的名字是I54/vcontrol.

12. 在仿真窗口中选择Simulation-Netlist and Run开始仿真,或者可以点

击右侧Netlist and Run图标

,仿真成功后会自动输出如下曲线:

13. 退出仿真窗口,选择Session-Quit.

14. 退出电路图窗口,选择Windou-Close;在弹出的Save Change框中点击No.

15. 在被仿真环境激活的窗口中,选择File-Close Window,退出仿真环境.

Lab 1.3 电路图输入

实验目的:

掌握如何创建一个库,如何创建一个双极CMOS(Bi-CMOS)运算放大器.

实验步骤:

1. 在CIW窗口中,选择File-New-Library;在弹出的New Library框中,确认Directory下的路径被设置为~/Artist446(~可以被扩展为绝对路径),并选择Don’t need a techfile,如图所示:

2. 点击OK.并在库管理器窗口中确认mylib库已经列入其中.

3. 在CIW或库管理器中选择File-New-Cellview,如下图所示建立新文件:

4. 点击OK.弹出一个空白的电路编辑窗口,用于下面步骤中放大器设计图的输入

5. 在该电路编辑窗口中,点击左侧的Instance图标

,弹出添加器件(Add Instance)对话框.确认框中的View Name设置为symbol.按照下面表格输入欲添加器件的器件参数,并点击左键将器件置于图中适宜位置.或可使用框中Browse键添加器件.

如果参数值设置错误,可采用Edit-Properties-Objects进行修改;如果器件放置位置不当,可采用Edit-Move命令加以调整.

6. 器件放置完毕后,点击器件添加对话框中的Cancel键,或鼠标置于电路图窗口中时敲击Esc键.

7. 点击电路图窗口的添加管脚图标

,弹出添加管脚(Add Pin)对话框;严格按照顺序依次输入管脚名称(各名称间需留有空格),Direction设置为input,Usage设置为schematic,如下图所示:

用左键将管脚置于图中合适位置(可使用右键调整管脚方向).

8. 点击细连线图标

,并用左键完成器件间连线.点击命令选项图标

或F3调整连线参数(建议将Draw Mode设置为route,将Route Method设置为full);连线完毕后,将鼠标箭头置于电路图中,敲击Esc键即可退出连线模式.

9. 点击添加连线名称图标

,在添加连线名称对话框中依次输入连线名称vdd!gnode(之间需留有空格),用左键在电路图中添加连线名称.vdd!添加到M1和M2基极连线上,gnode添加到M5和M2的栅极连线上(注:标有!代表为全局变量).将鼠标箭头置于电路图中,敲击Esc 键即可退出添加连线名称模式.

10. 最终可得电路图如下图所示:

11. 点击左侧检查并存图图标

.观察CIW的输出区域(如下图所示)表明无错误,并已正确存图.

Lab 1.4 模块的创建

实验目的:

掌握如何为一个双极CMOS(Bi-CMOS)运算放大器创建模块.

实验步骤:

1. 在运放的电路图中选择Design-Create Cellview-From Cellview,弹出Cellview From Cellview对话框.激活对话框中的Edit Options选项后,可对模块的外观进行调整.

2. 确认From View Name设置为schematic,To View Name设置为

symbol,Tool/Data Type设置为Composer-Symbol.如下图所示:

3. 点击OK.弹出模块生成(Symbol Generation)对话框,并在该框内进行管脚规格的调整.如下图所示:

4. 点击OK.一个新的窗口内会自动生成一个放大器模块,如图:

(图a)

观察CIW输出框,可知一个模拟器件描述格式(analog Component Description,CDF)已经生成.

可进一步将上图中的模拟器件外观调整为如下图中所示:

(图b)

5. 左键选中图a中绿色矩形框,点击删除图标

;选择Add-Shape-Polygon,按照电路图底部的提示,在图中画上三角形外观.如果对所画线条不满意,可使用Backspace键删除刚画出的最后一条线.画完最后一笔时,双击鼠标左键即可完成画图.

6. 点击电路图窗口中的移动(Move)图标

,并将inp管脚移至图b中所示位置.再用类似方法移动inm和iref管脚.

7. 用Edit-Rotate命令,按照电路图底部的提示旋转iref标签,并用Move移动到合适的位置.

8. 左键选定标签cdsParam(3)并删除.

9. 左键选中整个红色矩形框,并删除.

10. 点击Selection Box图标

,或选择Add-Selection Box.在Add Selection Box对话框中点击Automatic,则自动添加生成一个红色选择框.

11. 选择伸展(Stretch)图标

,调整iref管脚的长度以适合新的选择框.可能需要再次移动iref和

cdsTerm(“iref”)标签.

12. 利用shift键,同时选中cdsParam(1)和cdsParam(2)标签,并将它们移到图b中所示的位置.

13. 将cdsName()标签移到图b所示位置.

14. 可选择Add-Note-Note Text在电路图中添加必要的说明文档.

Lab 1.5 电源的创建

实验目的:

掌握如何创建一个电源来为电路供电

实验步骤:

1. 在CIW或库管理器中选择File-New-Cellview,建立如下对话框:

2. 点击OK.弹出一个空白电路图编辑窗用于输入电源设计电路.

3. 在电路图编辑窗中,选择Design-Create Cellview-From Cellview,在弹出的Cellview From Cellview框中点击OK;弹出”模块生成选项”(Symbol Generation Options)框. 如下图所示,在Top Pins后填入VDD VSS后点击OK.

4. 注意观察CIW输出信息表明一个模拟CDF已经生成.同时弹出的窗口中显示出生成的电源模块.如下图:

5. 将上图调整为如下图所示,并在编辑完成后保存(Save)模块;选择Window-Close退出电路图编辑窗即可.

Lab 1.6 建立运放测试电路

实验目的:

用双极CMOS(Bi-COMS)运算放大器建立一个运放测试电路

实验步骤:

1. 在CIW或库管理器中选择File-New-Cellview,弹出”创建新文

件”(Creat New File)框,并在进行如下设置后点击OK:

2. 在弹出的空白电路编辑窗中将建立一个增益为3的运放,具体器件参数见下表:

Library Name Cell Name PROPERTIES/COMMENTS

mylib amplifier

mylib supply VDD=5,VSS=-5

analogLib vsin For V2:AC Magnitude=1,Amplitude=50m,

Frequency=1M,Offset voltage=0

analogLib idc For 14:DC current=500u

analogLib res For R1:Resistance=20K

analogLib res For R0:Resistance=10K

analogLib vdd,vss

得到如下电路图后保存

::

实验二用Spectre Direct进行模拟仿真

Lab 2.1 运行仿真

实验目的:

对运放测试电路进行仿真

实验步骤:

1. 在运放测试电路的电路编辑窗中选择Tools-Analog Environment,弹出模拟电路设计环境仿真窗(Analog Circuit Design Environment Simulation)

2. 在仿真窗中选择Setup-Simulator/Directory/Host,确认弹出框中Simulator后设置为spectre后,点击OK.

3. 选择Setup-Simulation Files, 确认弹出框中的Include Path后设置为./Model,如下图所示:

可以加入更多的路径,只要在各路径之间插入空格即可.

4. 在仿真窗口中,选择Setup-Model Libraries,弹出模型库建立(Model Library Setup)菜单;在该菜单中如下图所示,在Model Library File中输入myModels.scs

5. 点击Add,则刚刚输入的路径转移到上面的框中.若想查看这个模型文件的文件内容,则选中该文件,并点击Edit File.如下图所示:

弹出文件内容如下:

使用”Esc : q! 回车”或File-Close均可退出该文件编辑窗.

在Simulation Files Setup框中点击OK,完成模型库的建立.

6. 在仿真窗中,点击选择分析图标

,弹出”选择分析”(Choosing Analyses)框.

7. 为进行瞬态分析(Transient Analysis)进行如下设置,如下图:

1. 在Analysis后选择tran;

2. 在Stop Time后设置为3u;

3. 选中Enabled;

4. 点击Apply.

8. 为进行交流分析(AC Analysis)进行如下设置,如下图:

相关文档