文档库 最新最全的文档下载
当前位置:文档库 › 数字电压表电压表实验报告

数字电压表电压表实验报告

数字电压表电压表实验报告
数字电压表电压表实验报告

创新实验报告

项目名称基于PIC32的小量程电压表的设计项目人员

联系电话

电子邮件

日期 2012 年 9 月 4 日

本实验为采用单片机(PIC32MX795F512L)主控的0-3.6V直流电压表。实验中,我们使用微机MPLAB软件将C语言程序输入PIC32位单片机,由MICRO-USB 接口对单片机供电,实现对于LED显示屏的控制,同时利用该单片机10位AD 转换功能实现直流电压的精确测量,同时控制蜂鸣器确保输入电压幅度在可控范围内,保护单片机。外部电路采用数据选择器(CD4052)与电压放大模块(LM358)的组合,实现对于微小输入电压(0-1V)的放大,从而使电压表对于微小电压的测量精度提高、其理论误差仅约为±1.46mV。使用液晶屏(LED1602)作为显示模块,由单片机控制,实现电压测量结果的显示。

关键词:

PIC32 电压表C语言A/D转换电压放大电路

1.方案论证与比较

2.系统设计

2.1 总体设计

2.1.1 原理模块框图

2.1.2 模块工作原理说明

2.2 各模块设计及参数计算

2.3 软件系统设计

2.3-1 系统软件流程图

2.3-2各模块子程序流程图及设计说明3.系统调调

3.1硬件调试

3.2软件调试

3.3软件硬件联调

4.系统指标测试

4.1测试仪器

4.2技术指标测试

5.结论

6.致谢、心得、体会

7.参考文献

8.附录

1. 方案论证与比较

出于实验室实验条件与安全的考虑,在老师的指导下我们将最开始的“0-1000V 自动量程电压表”改为“能够精确测量0-3V 小电压的电压表”,在日后条件允许的情况下对电路稍作改动即可实现更大的功效。

2.系统设计 2.1 总体设计

该系统中,我们需要一个拥有多位数模转换功能的最小系统板做为主控,并设计一个安全保护电路保证输入该系统板的电流电压不能超过其所能承受的最大阈值。为了精确测量小电压,我们需设计一个由运算放大器组成的模拟电路,实现信号的选通与放大。在输出端口,我们需要一个能受系统板控制的显示屏,将测量结果显示出来。

2.1.1 原理模块框图

PIC 单片机最小系统板(自带高速AD 转换

器)

报警模块 蜂鸣器

安全控制模块

电压采集模块 数据选择器

电压放大模块 运放电路

输出显示模块 LED 显示屏

输入电压

2.1.2 模块工作原理说明

i.电压输入模块

电压输入模块采取直流稳压电源作为待测电压的输入,与此同时对LED

的背光进行供电。电路板上焊有开关,用以控制电压的输入与否。

ii.安全控制模块

用蜂鸣器提示是否所测电压超过单片机所能承受最大量程。若超出量

程,显示器会显示错误信息,蜂鸣器会进行报警,并自动切断AD转换

接口。

iii.数据采集模块

为了提高测量精度,数据采集模块中我们选用数据选择器CD4052,根

据输入信号大小的不同进行选通。

iv.电压放大模块

为了提高微小电压(0~1V)的测量精度,我们在待测电源经过选通之后

利用小电压运算放大器LM358进行电压的跟随与放大,保持电压的稳

定同时使之放大两倍,使得测量数值更加接近真实值并且对于微小电压

的测量精度提高了一倍。

v.单片机模块

本实验中,我们选用了PIC32MX795F512L单片机进行主控。该单片机

主频为80MHz。拥有10位AD转换接口,可以满足实验设计中的要求。

借助其配件Ethernet Starter Kit可以利用杜邦线与其他实验硬件进行连

接。

PIC32MX795F512L各管脚功能示意图

管脚号管脚功能系统对应连线系统功能

3 I/O-RE5 LED-DB5 控制LED显示屏

4 I/O-RE6 LED-DB6 控制LED显示屏

5 I/O-RE7 LED-DB7 控制LED显示屏15 GND 地线接地

20 AN5 CD4052 AD转换输入口22 AN3 LM358 AD转换输入口31 GND 地线接地

36 GND 地线接地

93 I/O-RE0 LED-DB0 控制LED显示屏

94 I/O-RE1 LED-DB1 控制LED显示屏

95 I/O-RG14 LED- 控制LED显示屏

96 I/O-RG12 LED- 控制LED显示屏

97 I/O-RG13 LED-DB5 控制LED显示屏

98 I/O-RE2 LED-DB5 控制LED显示屏

99 I/O-RE3 LED-DB5 控制LED显示屏100 I/O-RE4 LED-DB5 控制LED显示屏

PIC32对应管脚表

vi. 数据显示模块

数据显示模块我们采用了LCD1602显示屏作为测量结果的输出端。该显示屏拥有双行ASCII 码显示与背光系统,利用软件MPLAB 可以使单片机控制其输出结果,将测量结果实时地显示在显示屏上。

2.2 各模块设计及参数计算

xxxxxxxxxxxxxx(内容小4号宋体字) 包括各模块设计原理图、理论计算、仿真原理等

2.3 软件系统设计

利用Microchip 公司开发的MPLAB 软件,我们可以轻松地将C++程序下载到单片机上。程序中应包含一个能够控制显示屏的头文件,一个包含各个所用函数、对于单片机控制的函数的头文件及一个包含主函数的源文件。

2.3-1 系统软件流程图

开始

定义PIC 管脚

初始化LCD 屏幕

结束

超出量程

显示异常

蜂鸣器报警

读取AD 转换结果

连续读取十次AD 转换结果并取均值

分配数据选择器地

址端电压

2.3-2各模块子程序流程图及设计说明

i.AD转换模块

原理图:

// 函数名:initADC

// 输入参数:AD转换端口

// 描述:初始化AD转换

// 返回值:无

//================================================== =================================

void initADC( int amask)

{

AD1PCFG =amask; //选择模拟输入管脚

AD1CON1 =0x00E0; //自动启动转换

AD1CSSL = 0; //不需要扫描

AD1CON2 = 0; //使用Vdd=3.3V作为参考电压正极,使用Vss=0V作为参考电压负极

AD1CON3 = 0x1F3F; //设置采样周期长度Tad=6Tpb=6*27 ns>75 ns

AD1CON1bits.ADON = 1; //开启AD转换

}

// 函数名:readADC

// 输入参数:AD转换端口

// 描述:读取AD结果

// 返回值:无

//================================================== =================================

int readADC( int ch)

{

AD1CHSbits.CH0SA = ch; //选择输入引脚

AD1CON1bits.SAMP = 1; //开始转换

while (!AD1CON1bits.DONE); //等待转换完成

return ADC1BUF0; //读取转换结果并返回

}

ii.将浮点数转化为字符型

// 函数名:ftostr

// 输入参数:待转换数字,存放字符串,浮点小数后边位数

// 描述:将浮点型数据转换为字符串

// 返回值:字符串大小

//================================================== =================================

int ftostr(float fNum, char str[], int dotsize)

{

// 定义变量

int iSize=0;//记录字符串长度的数

int n=0;//用作循环的临时变量

char *p=str;//做换向时用的指针

char *s=str;//做换向时用的指针

char isnegative=0;//负数标志

unsigned long int i_predot;//小数点前的数

unsigned long int i_afterdot;//小数点后的数

float f_afterdot;//实数型的小数部分

//判断是否为负数

if(fNum<0)

{

isnegative=1;//设置负数标志

fNum=0-fNum;//将负数变为正数

}

i_predot=(unsigned long int)fNum;//将小数点之前的数变为整数

f_afterdot=fNum-i_predot;//单独取出小数点之后的数

//根据设定的要保存的小数点后的位数,将小数点后相应的位数变到小数点之前

for(n=dotsize;n>0;n--)

{

f_afterdot=f_afterdot*10;

}

i_afterdot=(unsigned long int)f_afterdot;//将小数点后相应位数的数字变为整数

//先将小数点后的数转换为字符串

n=dotsize;

while(i_afterdot>0|n>0)

{

n--;

str[iSize++]=i_afterdot%10+'0';//对10取余并变为ASCII码

i_afterdot=i_afterdot/10;//对10取商

}

str[iSize++] ='.';//加上小数点

//处理小数点前为0的情况。

if(i_predot==0)

str[iSize++]='0';

//再将小数点前的数转换为字符串

while(i_predot>0)

{

str[iSize++]=i_predot%10+'0';//对10取余并变为ASCII码

i_predot=i_predot/10;//对10取商

}

if(isnegative==1)

str[iSize++]='-';//如果是负数,则在最后加上负号

str[iSize] ='\0';//加上字符串结束标志

p=str+iSize-1;//将P指针指向字符串结束标志之前

for(;p-s>0;p--,s++)//将字符串中存储的数调头

{

*s^=*p;

*p^=*s;

*s^=*p;

}

// 返回指针字符串大小

return iSize;

}

iii.LCD控制模块

LCD1602时序图:

LCD1602字符对照表:

// 函数名:LCD_BUSY_WAIT

// 输入参数:无

// 描述:LCD忙等待RS--RG13 RW——RG12 E——RG14

// 返回值:无

//================================================== =================================

void LCD_BUSY_WAIT()

{

INT8U status;

TRIS_LCD_DAT = 0xFF; //将端口设为输入

PORTClearBits(IOPORT_G, BIT_13); //RS = 0;

PORTSetBits(IOPORT_G, BIT_12); //RW = 1;

//读状态寄存器

do

{

PORTSetBits(IOPORT_G, BIT_14); //E=1;

status=PORT_LCD_DAT;

PORTClearBits(IOPORT_G, BIT_14); //E=0;

} while (status & 0x80); //忙则继续等待}

// 函数名:Write_LCD_Command

// 输入参数:待执行命令

// 描述:写LCD命令

// 返回值:无

//================================================== =================================

void Write_LCD_Command(INT8U cmd)

{

TRIS_LCD_DAT=0x00;

PORT_LCD_DAT=cmd;

PORT_LCD_CTL=TRIS_LCD_CTL=0x00;

PORTClearBits(IOPORT_G, BIT_13); //RS=0;

PORTClearBits(IOPORT_G, BIT_12); //RW=0;

PORTSetBits(IOPORT_G, BIT_14); //E=1;

PORTClearBits(IOPORT_G, BIT_14); //E=0;

LCD_BUSY_WAIT(); //LCD 忙等待

}

// 函数名:Write_LCD_Data

// 输入参数:待输入数据

// 描述:写LCD数据寄存器

// 返回值:无

//================================================== =================================

void Write_LCD_Data(INT8U dat)

{

TRIS_LCD_DAT=0x00;

PORT_LCD_DAT=dat;

PORTSetBits(IOPORT_G, BIT_13); //RS=1;

PORTClearBits(IOPORT_G, BIT_12); //RW=0;

PORTSetBits(IOPORT_G, BIT_14); //E=1;

PORTClearBits(IOPORT_G, BIT_14); //E=0;

LCD_BUSY_WAIT(); //LCD 忙等待

}

// 函数名:LCD_Initialize

// 输入参数:无

// 描述:LCD初始化

// 返回值:无

//================================================== =================================

void LCD_Initialize()

{

Write_LCD_Command(0x38); //置功能,8位,双行,5*7

Write_LCD_Command(0x01); //清屏

Write_LCD_Command(0x06); //字符进入模式:屏幕不动,

字符后移

Write_LCD_Command(0x0C); //显示开,关光标

}

// 函数名:LCD_ShowString

// 输入参数:显示行数,显示水平位移,待显示字符串

// 描述:在r行(0~1) c列(0~15)显示字符串

// 返回值:无

//================================================== =================================

void LCD_ShowString(INT8U r, INT8U c,char *str)

{

INT8U i=0;

if (r==0) Write_LCD_Command(0x80|c);else

if(r==1)Write_LCD_Command(0xC0|c);

iv.延时模块

// 函数名:LCD_BUSY_WAIT

// 输入参数:延时长度

// 描述:延时

// 返回值:无

//================================================== =================================

void delay(int x)//延时函数

{ int i,j;

for (i=x;i>0;i--)

for (j=110;j>0;j--);

}

v.管脚定义模块

//LCD命令

#define LCD_CLS 0x01

#define LCD_HOME 0x02

#define LCD_SETMODE 0x04

#define LCD_SETVISIBLE 0x08

#define LCD_SHIFT 0x10

#define LCD_SETFUNCTION 0x20

#define LCD_SETCGADDR 0x40

#define LCD_SETDDADDR 0x80

//数据端口与命令端口定义

#define PORT_LCD_DAT PORTE

#define PORT_LCD_CTL PORTG

#define TRIS_LCD_DAT TRISE

#define TRIS_LCD_CTL TRISG

#define PORT_LS PORTB

#define TRIS_LS TRISB

pragma config POSCMOD=XT,FNOSC=PRIPLL

#pragma config FPLLIDIV=DIV_2,FPLLMUL=MUL_18,FPLLODIV=DIV_1 #pragma config FPBDIV=DIV_2,FWDTEN=OFF,CP=OFF,BWP=OFF #define POT 5 //确定输入通道为第五个

#define POT2 3 //确定输入通道为第五个

#define AINPUTS 0xffef //确定ADC模拟输入管脚为AN5

#define AINPUTS2 0xfffb //确定ADC模拟输入管脚为AN3

// The following is used by the main application

#define SYS_FREQ (80000000) //主频80MHz

// IOPORT bit masks can be found in ports.h

#define CONFIG (CN_ON) //系统管道打开

#define PINS (CN16_ENABLE) //指示灯管道使能电压

#define PULLUPS (CN15_PULLUP_ENABLE | CN16_PULLUP_ENABLE)//两个指示灯的弱上拉电阻使能

#define INTERRUPT (CHANGE_INT_ON |

CHANGE_INT_PRI_2)//通道中断使能,其中断优先级为2

SYSTEMConfig(SYS_FREQ, SYS_CFG_WAIT_STATES | SYS_CFG_PCACHE);

PORTSetPinsDigitalOut(IOPORT_G, BIT_12 | BIT_13| BIT_14);

PORTClearBits(IOPORT_G, BIT_12 | BIT_13| BIT_14);

PORTSetPinsDigitalOut(IOPORT_E, BIT_0 | BIT_1| BIT_2| BIT_3| BIT_4| BIT_5| BIT_6| BIT_7);

PORTClearBits(IOPORT_E, BIT_0 | BIT_1| BIT_2| BIT_3| BIT_4| BIT_5| BIT_6| BIT_7);

PORTSetPinsDigitalOut(IOPORT_B, BIT_0 | BIT_1| BIT_2);

PORTClearBits(IOPORT_B, BIT_0 | BIT_1| BIT_2);

vi.主函数模块

while(1)

{

int temp=0;

while(temp==0)

{

initADC(AINPUTS);

float vol = readADC(POT);//第一次AD输入所得值

if(vol<314)

{

temp=1;

PORTSetBits(IOPORT_B, BIT_1); //地址端A;

PORTSetBits(IOPORT_B, BIT_2); //地址端B;

PORTClearBits(IOPORT_B, BIT_0); //蜂鸣器;

delay(100);

break;

}

if(vol>=1023)

{

temp=2;

PORTClearBits(IOPORT_B, BIT_1); //地址端A;

PORTClearBits(IOPORT_B, BIT_2); //地址端B;

PORTClearBits(IOPORT_B, BIT_0); //蜂鸣器;

delay(100);

break;

}

initADC(AINPUTS);

float cs = 314;

int i=0;

float total=0;

for (i=0;i<10;i++)//采样十次

{

float vol2 = readADC(POT)/cs; //除以参数得真实值

total = total + vol2;

}

total = total / 10 ;

char str[8];

ftostr(total, str, 3);

LCD_ShowString(0, 1, (char*)"V oltage = ");

LCD_ShowString(1, 9, str);

LCD_ShowString(1, 15, (char *)"V");

delay(100);

}

while(temp==1)

{

initADC(AINPUTS2);

float vol = readADC(POT2);//第一次AD输入所得值

if(vol>314)

{

temp=0;

PORTClearBits(IOPORT_B, BIT_1); //地址端A;

PORTClearBits(IOPORT_B, BIT_2); //地址端B;

PORTClearBits(IOPORT_B, BIT_0); //蜂鸣器;

delay(100);

break;

}

initADC(AINPUTS2);

float cs = 628;

int i=0;

float total=0;

for (i=0;i<10;i++)//采样十次

{

float vol2 = readADC(POT2)/cs; //除以参数得真实值

total = total + vol2;

}

total = total / 10 ;

char str[8];

ftostr(total, str, 3);

LCD_ShowString(0, 1, (char*)"V oltage = ");

LCD_ShowString(1, 9, str);

LCD_ShowString(1, 15, (char *)"V");

delay(100);

}

while(temp==2)

{

LCD_ShowString(0, 1, (char*)"EEEEEEEEE");

LCD_ShowString(1, 1, (char*)"EEEEEEEEE");

LCD_ShowString(1, 10, (char *)" ");

基于51单片机的简易数字电压表的设计

课题交流毫伏表设计 系别 专业 年级 姓名 学号 指导教师

目录 第一章引言 (2) 1.1摘要 (2) 1.2 设计目的 (2) 1.3设计任务及要求 (2) 1.4 课程设计过程 (2) 第二章系统方案选择和论证 (3) 2.1基本方案论证 (3) 2.2输出部分中各模块的方案选择 (3) 2.3总体方案设计 (4) 第三章AT89C51的结构 (5) 3.1AT89C51的概述 (5) 3.2 AT89C51部结构 (5) 3.3存储器和特殊功能寄存器的介绍 (5) 3.4时钟电路和复位电路 (7) 第4章元器件的选择 (7) 4..1显示 (7) 4.2 模数(A/D)芯片 (11) 4.3 数模AC/DC736芯片 (13) 4.4 OP07 (13) 第五章电路的设计 (14) 5.1时钟电路 (15) 5.2A/D转换程序 (17) 第6章系统的调试 (18) 6.1 硬件的调试 (18) 6.2软件调试 (19) 参考文献 (20) 附录 (20) 程序清单 (20) 元件清单 (25)

容摘要 本次设计主要解决AC/DC转换、A/D转换、数据处理及显示控制等几个模块。控制系统采用AT89C51单片机,A/D转换采用ADC0809。要求交流毫伏表检测信号的电压围:1mv—2v ,输入信号的频率围:10Hz-2000KHz,并在LCD1602液晶上显示测量电压信号。 关键词AT89C51单片机;电压测量;A/D转换;LCD1602液晶显示;AC/DC 转换;放大;衰减。 1.2 设计目的 本课程的任务是通过“交流毫伏表的设计”的设计过程,综合所学课程,掌握目前自动化仪表的一般设计要求,工程设计方法,开发及设计工具的使用方法,通过这一设计实践过程,锻炼学生的动手能力和分析,解决问题的能力;积累经验,培养按部就班,一丝不苟的工作个对所学知识的综合应用能力。 1.3设计任务及要求 1、设计一个交流毫伏表,检测信号的电压围:1mv—2v。 2、输入信号的频率围:10Hz-2000KHz 3、查阅相关资料,了解交流毫伏表的各种现实发法极其特点,并着重掌 握交流毫伏表的设计及显示等。 4、熟悉并掌握个芯片的功能极其管脚分。 5、检测设计电路中所需要的各种电子元器件。 6、对设计的交流毫伏表进行装接与调试,要时设计的电路达标。 7、完成设计交实物图极其设计报告。 1.4课程设计过程 1、各组组成员讨论并进行软硬件系统设计,经指导老师同意进行具体方 案实施。 2、将可行方案硬件电路焊接在万能板上,并检查。 3、软硬件仿真。

用电压表和电流表测电阻实验报告

用电压表和电流表测电阻实验报告(人教版) 1、实验目的:_______________________________________________________ 2、实验器材:__________、__________、__________、__________、__________、 __________、_________________。 3、实验电路图:(如右图所示) 4、实验原理:______________________ 5、实验注意事项: 压表都应处于最大量程,滑动变阻器的电阻处于电阻最大的状态,开关应断开。 ②连接完毕,能够试触一下,闭合开关,如发现指针摆动过大,指针反向偏转等情况,应立即断开电源,避免损坏电表。 ③用滑动变阻器改变电路中电流时,电表的量程要恰当,选择电表的量程过大,指针偏转过小,会影响读数的精确度,电表每次的读数相差要尽量大些,以减小实验误差。 ④数据处理可采用计算法,即根据每一组的电压和电流强度值,根据R U I 计算电阻 值,再取平均值。 6、实验步骤: A.按电路图连接线,此时电键应处于断开状态,且让滑动变阻器,处于最大电阻值。 B.估算或用试触确定所选伏特表和安培表量程是否恰当,若不当,则调整。 C.检查电路无误后,接通电路,开始实验。 D.闭合开关,观察和记录安培表,伏特表的示数填入下面表格中(或自己设计表格)。 E.改变滑动变阻器滑动片的位置,重复步骤D,并根据欧姆定律计算出三次测量的 平均值。 数据 次数 U(伏)I(安)Rx(欧) Rx的平均值(欧) 1 2 3 (3)计算出Rx的三次阻值,求出Rx的平均值。Rx=(Rx1+Rx2+Rx3)/3 7、实验结果:Rx=(R1+R2+R3)/3=_______________________=________欧姆 8、整理器材:实验完毕要整理好仪器。 ☆☆☆(实验要求:积极动手,按要求操作,记录数据、计算结果要实事求是。实 验完毕后,将导线取下捆成一捆,并将仪器排放整齐。) ☆☆☆ 1.★串联、并联电路的特点: 在使用欧姆定律对电路实行判定和计算时必须要充分利用串联,并联电路的特点。 1、串联电路的特点: ⑴在串联电路中,电流强度处处相等 用公式写出为I总=I1=I2=I3=…… ⑵在串联电路中,总电压等于各段电压之和

电压表实验报告

程序: #include #define uchar unsigned char #define uint unsigned int #define ADGO ADCON0bits.GO #define fmq PORTEbits.RE0 #define m1l 57904 //低8度#define m2l 58736 #define m3l 59472 #define m4l 59804 #define m5l 60432 #define m6l 60992 #define m7l 61488 #define m1 61712 //中 #define m2 62168 #define m3 62500 #define m4 62672 #define m5 62984 #define m6 63264 #define m7 63512 #define m1h 63624 //高8度#define m2h 63832 #define m3h 64048 #define m4h 64104 #define m5h 64260

#define m6h 64400 #define m7h 64524 #define p 1000 //节拍时长 #define ph p/2 //半拍 #define pd p*2 //双拍 #define pf p*3/4 #define pg p/4 uint song[]={m6l,m1,m3,m4,m2,m3,m4,m6,m5,m4,m3,m1,m1,m2,m3,m5,m4,m3,m2,m2,m2,m7l,m1, m2,m4,m3,m2,m2,m2,m2,m3,m3,m3,m5,m6,m3,m3,m3,m3,m5,m2,m2,m2,m3,m5,m2,m2,m3,m6 l,m6l};//《手掌心》简谱 uint time[]={p,ph,ph,pf*2,pg,pg,ph,ph,ph,ph,p,ph,pg,pg,ph,ph,ph,pg,pg,p,ph,pg,pg,ph,ph,pg,pg,pg,pg, pg,pf,pd,ph,ph,pg,pg,pg,pg,pg,ph,pg,p,ph,ph,ph,ph,pg,ph,pg,p};//对应的歌曲节拍 uint total = 50; uint counter = 0,num = 0, i = 0; uint flag=0; uint a1=0,a2=0,a3=0,a4=0; uint lednum=0; uchar num_h[]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10};//共阳数码管“0.—9.”定义 uchar num_l[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};//共阳数码管“0 --9”定义 void Delay25us(unsigned int x);//延时函数声明 unsigned int AD_Trs();//Ad转换函数声明 void display(void);//数码管显示函数声明 void Tmr_Init(void);//定时器初始化函数声明 void High_Interrupt(void);//定时器中断跳转函数声明 void Stopwatch(void);//定时器中断执行函数声明 void main(void)//主函数 { WDTCONbits.SWDTEN = 0;//关闭看门狗 TRISC=0X00;//输入输出端口初始化 TRISD=0X00; PORTC=0X00; TRISE=0X00; PORTEbits.RE0=0;//用于音乐输出 TRISAbits.TRISA0=1; //AD转换采集电压输入 PORTAbits.RA0=0; ADCON0=0x01;//使能ADC,模拟通道选择AN0(RA0) ADCON1 = 0x00;//正负参考电压从单片机内部获取 ADCON2 = 0xa5;//A/D 转换结果格式为右对齐

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

#简易数字电压表的设计

一、简易数字电压表的设计 l .功能要求 简易数字电压表可以测量0~5V 的8路输入电压值,并在四位LED 数码管上轮流显示或单路选择显示。测量最小分辨率为0.019 V ,测量误差约为土0.02V 。 2.方案论证 按系统功能实现要求,决定控制系统采用A T89C52单片机,A /D 转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其它A /D 转换量的测量、远程测量结果传送等扩展功能。数字电压表系统设计方案框图如图1-1。 3.系统硬件电路的设 计 简易数字电压测量电 路由A /D 转换、数据处 理及显示控制等组成,电 路原理图如图1-2所示。A /D 转换由集成电路0809完 成。0809具有8路模拟输人 端口,地址线(23~25脚)可决定对哪一路模拟输入作A /D 转换,22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存,6脚为测试控制,当输入一个2us 宽高电平脉冲时,就开始A /D 转换,7脚为A /D 转换结束标志,当A /D 转换结束时,7脚输出高电平,9脚为A /D 转换数据输出允许控制,当OE 脚为高电平时,A /D 转换数据从该端口输出,10脚为0809的时钟输入端,利用单片机30脚的六分频晶振频率再通过14024二分频得到1 MHz 时钟。单片机的P1、P3.0~P3.3端口作为四位LED 数码管显示控制。P3.5端口用作单路显示/循环显示转换按钮,P3.6端口用作单路显示时选择通道。P0端口作A /D 转换数据读入用,P2端口用作0809的A /D 转换控制。 4.系统程序的设计 (1)初始化程序 系统上电时,初始化程序将70H ~77H 内存单元清0,P2口置0。 (2)主程序 在刚上电时,系统默认为循环显示8个通道的电压值状态。当进行一次测量后,将 图1-1 数字电压表系统设计方案

电位差计校准电表实验报告(完整版)

电位差计校准电流表

3 、电位差计的标准 要想使回路的工作电流等于设计时规定的标准值I O ,必须对电位差计进行校准。方法如图所示。E S 是已知的标准电动势,根据它的大小,取cd 间电阻为R cd ,使R cd =E S /I O ,将开关K 倒向E S ,调节R 使检流计指针无偏转,电路达到补偿,这时I O 满足关系I O = E S /R cd ,由于已知的E S 、R cd 都相当准确,所以I O 就被精确地校准到标准值,要注意测量时R 不可再调,否则工作电流不再等于I O 。 4﹑电流表的校准 校正电流表的电路如图5-20-4所示,图中毫安表为被校准电流表,R 为限流器,s R 为标准电阻,有4个接头,上面两个是电流接头,接电流表,下面两个是电压接头,接电位差计。电位差计可测出s R 上的电压s U ,则流过s R E R a b c d Es Ex K 图5-20-4 电位差计校正电流表电路

中电流的实际值为s s R U I /0= 在毫安表上读出电流指示值I ,与0I 进行比较,其差值0I I I -=?称为电流表指示值的绝对误差。找出所测值中的最大绝对误差m I ?,按式(0-0-1)确定电流表级别。 %100??= 量限 m I a (0-0-1) 电路实物图: 五、实验内容及步骤 1、校准学生式电位差计 使用电位差计之前,先要进行校准,使电流达到规定值。先放好R A 、R B 和R C ,使其电压刻度等于标准电池电动势,取掉检流计上短路线,用所附导线将K 1、K 2、K 3、G 、R 、R b 和电位差计等各相应端钮间按原理线路图进行连接,经反复检查无误后,接入工作电源E ,标准电池E S 和待测电动势E X ,R b 先取电阻箱的最大值,(使用时如果检流计不稳定,可将其值调小,直到检流计稳定为止),合上K 1、K 3,将K 2推向E S (间歇使用),并同时调节R ,使检流计无偏转(指零),为了增加检流计灵敏度,应逐步减少R b ,如此反复开、合K 2 ,确认检流计中无电流流过时,则I O 已达到规定值。

51单片机数字电压表实验报告

微控制器技术创新设计实验报告 姓名:学号:班级: 一、项目背景 使用单片机AT89C52和ADC0808设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;显示精度伏。 二、项目整体方案设计 ADC0808 是含8 位A/D 转换器、8 路多路开关,以及与微型计算机兼容的控制逻辑的CMOS组件,其转换方法为逐次逼近型。ADC0808的精度为 1/2LSB。在AD 转换器内部有一个高阻抗斩波稳定比较器,一个带模拟开关树组的256 电阻分压器,以及一个逐次通近型寄存器。8 路的模拟开关的通断由地址锁存器和译码器控制,可以在8 个通道中任意访问一个单边的模拟信号。

三、硬件设计 四、软件设计#include<> #include""

#define uchar unsigned char #define uint unsigned int sbit OE = P2^7; sbit EOC=P2^6; sbit START=P2^5; sbit CLK=P2^4; sbit CS0=P2^0; sbit CS1=P2^1; sbit CS2=P2^2; sbit CS3=P2^3; uint adval,volt; uchar tab[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8, 0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E}; void delayms(uint ms) {

51单片机数字电压表实验报告

微控制器技术创新设计实验报告 :学号:班级: 一、项目背景 使用单片机AT89C52和ADC0808设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为5V;显示精度0.001伏。 二、项目整体方案设计 ADC0808 是含8 位A/D 转换器、8 路多路开关,以及与微型计算机兼容的控制逻辑的CMOS组件,其转换方法为逐次逼近型。ADC0808的精度为1/2LSB。在AD 转换器内部有一个高阻抗斩波稳定比较器,一个带模拟开关树组的256 电阻分压器,以及一个逐次通近型寄存器。8 路的模拟开关的通断由地址锁存器和译码器控制,可以在8 个通道中任意访问一个单边的模拟信号。

三、硬件设计 四、软件设计 #include #include"intrins.h" #define uchar unsigned char #define uint unsigned int sbit OE = P2^7; sbit EOC=P2^6; sbit START=P2^5; sbit CLK=P2^4;

sbit CS0=P2^0; sbit CS1=P2^1; sbit CS2=P2^2; sbit CS3=P2^3; uint adval,volt; uchar tab[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8, 0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E}; void delayms(uint ms) { uchar j; while(ms --) { for(j=0;j<120;j++); } } void ADC_read() { START=0; START=1; START=0; while(EOC==0); OE=1;

简易数字电压表(单片机课程设计)

课程设计说明书 简易数字电压表的设计 院(系) 专业机械电子工程 班级二班 学生姓名 指导老师 2015 年 3月 13 日 课程设计任务书 兹发给机械电子工程(2)班学生课程设计任务书,内容如下:

1.设计题目:简易数字电压表的设计 2.应完成的项目: (1)可测0~5V的8路电压输入值; (2)在LED数码管上轮流显示; (3)单路选择显示; (4)利用功能键可以实现滚动显示,显示启动/停止等; 3.参考资料以及说明: [1]刘瑞新.单片机原理及应用教程[M].北京:机械工业出版社, 2003.7 [2]张俊,钟知原,王日根.简易数字电压表的设计[J].科协论坛:下半月,2012(8)34-35 [3]赵静,刘少聪,丁浩.王莉莎.基于单片机的数字电压表的设计[J].数字技术与应用,2011(6):121-125 [4]魏立峰.单片机原理及应用技术[M].北京大学出版社,2005年 [5]谭浩强.C语言程序设计(第二版)[M].北京:清华大学出版社,2005.12 4.本设计任务书于2015年3月2日发出,应于2015年3月13日前完成,然后进行答辩。 专业教研室、研究所负责人审核年月日 指导教师签发年月日 课程设计评语:

课程设计总评成绩: 课程设计答辩负责人签字: 年月日

摘要 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。 本实验设计主要讲述了数字电压表的设计过程,主要包括硬件设计和程序设计,硬件主要包括以STC89C51单片机为主要控制电路、数据采样电路、显示电路等,是基于51单片机开发平台实现的一种数字电压表系统。该设计采用STC89C51单片机作为控制核心,驱动控制四块数码管显示被测电压,以ADC0809为模数转换数据采样,实现被测电压的数据采样,使得该数字电压表能够测量0-5V之间的直流电压值。 关键词:STC89C51、ADC0809、显示电路、数据采样

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

测量电压实验报告

测量电压实验报告 篇一:基于Labview的电压测量仿真实验报告 仿真实验一基于Labview的电压测量仿真实验 一、实验目的 1、了解电压测量原理; 2、通过该仿真实验熟悉虚拟仪器技术——LABVIEW的简单编程方法; 3、通过本次实验了解交流电压测量的各种基本概念。 二、实验仪器 微机一台、LABVIEW8.5软件三、实验原理 实验仿真程序如下(正弦波、三角波、锯齿波、方波(占空比30%、50%、60%): 四、实验内容及步骤 (1)自己编写LABVIEW仿真信号源实验程序,要求可以产生方波(占空比 可调)、正弦波、三角波、锯齿波等多种波形,而且要求各种波形的参数可调、可控。 (2)编写程序对各种波形的有效值、全波平均值、峰

值等进行测量,在全波平均值测量时要注意程序编写过程。同时记录各种关键的实验程序和实验波形并说明。 实验所得波形如下:(正弦波、三角波、锯齿波、方波(占空比30%、50%、60%): 正弦波: 三角波: 锯齿波: 方波(占空比30%): 方波(占空比50%): 方波(占空比60%): (3)对各种波形的电压进行测量,并列表记录。如下表: 五、实验小结 由各波形不同参数列表可知,电压量值可以用峰值、有效值和平均值表征。被测电压是非正弦波的,必须根据电压表读数和电压表所采用的检波方法进行必要地波形换算,才能得到有关参数。 篇二:万用表测交流电压实验报告1

万用表测交流电压实验报告 篇三:STM32 ADC电压测试实验报告 STM32 ADC电压测试实验报告 一、实验目的 1.了解STM32的基本工作原理 2. 通过实践来加深对ARM芯片级程序开发的理解 3.利用STM32的ADC1通道0来采样外部电压值值,并在TFTLCD模块上显示出来 二、实验原理 STM32拥有1~3个ADC,这些ADC可以独立使用,也可以使用双重模式(提高采样率)。STM32的ADC是12位逐次逼近型的模拟数字转换器。它有18个通道,可测量16个外部和2个内部信号源。各通道的A/D转换可以单次、连续、扫描或间断模式执行。ADC的结果可以左对齐或右对齐方式存储在16位数据寄存器中 接下来,我们介绍一下执行规则通道的单次转换,需要用到的ADC寄存器。第一个要介绍的是ADC控制寄存器(ADC_CR1和ADC_CR2)。ADC_CR1的各位描述如下: ADC_CR1的SCAN位,该位用于设置扫描模式,由软件

简易电压表设计实验报告

数字电路与逻辑设计实验 实验报告 课题名称:简易数字电压表的设计 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号:

一.设计课题的任务要求 设计并实现一个简易数字电压表,要求使用实验板上的串行AD 芯片ADS7816。 1.基本要求: (1)测量对象:1~2 节干电池。 (2)AD 参考电压:2.5V。 (3)用三位数码管显示测量结果,保留两位小数。 (4)被测信号超过测量范围有溢出显示并有声音提示。 (5)按键控制测量和复位。 2. 提高要求: (1)能够连续测量。 (2)自拟其他功能。 二. 系统设计(包括设计思路、总体框图、分块设计) 1.设计思路 本次实验利用ADS7816作为电压采样端口,FPGA作为系统的核心器件,用LED数码管进行已测电压值的显示,先把读取的12位串行二进制数据转换成并行的12位二进制数据,然后再把并行的12位二进制数据转换成便利于输出的3位十进制BCD码送给数码管,以显示当前测量电压值。这些工作由ADS7816转换控制模块、数据转换控制模块、译码显示模块完成。 2. 总体框图

3. 分块设计 3.1 ADS7816转换控制模块 (1)ADS7816工作原理 在ADS7816的工作时序中,串行时钟DCLK用于同步数据转换,每位转换后的数据在DCLK 的下降沿开始传送。因此,从Dout引脚接收数据时,可在DCLK的下降沿期间进行,也可以在DCLK的上升沿期间进行。通常情况下,采用在DCLK的上升沿接收转换后的各位数据流。CS 的下降沿用于启动转换和数据变换,CS有效后的最初1至2个转换周期内,ADS7816采样输入信号,此时输出引脚Dout呈三态。DCLK的第2个下降沿后,Dout使能并输出一个时钟周期的低电平的无效信号。在第4个时钟的上升沿,Dout开始输出转换结果,其输出数据的格式是最高有效位(B11位)在前。当最低有效位(B0位)输出后,若CS变为高电位,则一次转换结束,Dout显三态。 (2)元件设计: en:A/D转换启动键,输入。输入高电平时开始转换。 clk:时钟输入。 ad_dat:ADS7816转换结束后的12位串行二进制数据输入端。 cs:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。 data_out[11..0]:12位并行二进制数据输出端。 3.2 数据转换控制模块

简易数字电压表的设计

一、设计题目:简易数字电压表的设计 二、设计目的 自动化专业的专业实践课程。本课程的任务是使学生通过“简易数字电压表的设计”的设计过程,综合所学课程,掌握目前自动化仪表的一般设计要求,工程设计方法,开发及设计工具的使用方法,通过这一设计实践过程,锻炼学生的动手能力和分析,解决问题的能力;积累经验,培养按部就班,一丝不苟的工作个对所学知识的综合应用能力。 三、设计任务及要求 设计电压表并实现简单测量。具有以下基本功能: ⑴可以测量0~5V的8路输入电压值; ⑵可在四位LED数码管上轮流显示或单路选择显示; ⑶测量最小分辨率为0.019V; ⑷.测量误差约为±0.02V; ⑸带有一定的扩展功能; 目录 第一章摘要 (4) 第二章智能仪表目前的发展状况 (4) 第三章设计目的 (6) 第四章设计要求 (6) 第五章设计方案与比较论证 (6) 5.1 单片机电路设计 (6) 5.2 电源方案 (8) 5.3 显示方案 (9) 5.4 A/D采样方案 (10) 5.5串口通讯方案 (12) 5.7 高压,短路报警 (14) 5.8 键盘 (14) 第六章方案设计 (15) 6.1 硬件设计 (15)

6.2 软件设计 (16) 第七章性能测试 (18) 电压测试 (18) 第八章结果分析 (19) 第九章设计体会 (19) 参考文献 (20) 附录 (20) 元器件清单 (20) 程序清单 (20) 第一章摘要 本报告介绍了基于AT89S52单片机为核心的、以AD0809数模转换芯片采样、以1602液晶屏显示的具有电压测量功能的具有一定精度的数字电压表。在实现基础功能要求之上扩展了串口通讯、时钟功能、高压报警、短路测试、电阻测量、交流电压峰峰值和周期测试等功能,使系统达到了良好的设计效果和要求。 关键词:AT89S52单片机模数转换液晶显示扩展功能 ABSTRACT:The report describes the AT89S52 based on the microcontroller as the core, AD0809 digital-to-analog converter chip sampling, to 1602 LCD display with voltage measurement function with a certain precision of digital voltage meter. In achieving functional requirements based upon the expansion of serial communications, high-pressure alarm, short circuit, electrical resistivity measurement, AC voltage and the peak of cycle testing and other functions, allowing the system to achieve good results and the design requirements. Keywords : AT89S52 SCM analog-to-digital conversion functions LCD expansion 第二章智能仪表目前发展状况 在自动化控制系统中,仪器仪表作为其构成元素,它的技术进展是跟随控制系统技术的发展的。常规的自动化仪器仪表适应常规控制系统的要求,它们以经典控制理论和现代控制理论为基础,以控制对象的数学模型为依据。当今,控制理论已发展到智能控制的新阶段,自动化仪器仪表的智能化就成为必然和必须。本文将就自动化仪器仪表的智能化的状况与进展,以及当今对智能仪器仪表研究、开发热点做概要的分析与表述。作者建议人们关注自动化仪器仪表智能化技术的进展,关注仪器仪表装置

电表的改装与校准实验报告.doc

大学物理实验报告 实验时间: 2016 年 3 月 14 日 实验名称: 电表的改装与校准 成绩: 学号: 73 实验目的: 班级: 自动化 153 班 姓名:廖俊智 1、测量微安表头的内电阻 R g ,量程 I g 2、掌握将 100uA 表头改装成 10mA 的电流表和 5V 电压表的方法; 3、学会校准电流表和电压表的方法。 图 3 实验仪器: 用于改装的微安表头、数字多用表、电阻箱、滑动变阻器、直流稳压电流、导线等。 实验原理: 1. 微安表头的内电阻 R g ,量程 I g 的测定 测量内阻 R g 的方法很多,本实验采用替代法。如图 1 所示。当被改电流计 ( 表头 ) 接在电路中 时,选择适当的电压 E 和 I E R R 值使表头满偏, 记下此时标准电流表的读数 a ;不改变电压 W 和 W 的 值,用电阻箱 R 13 替代被测电流计,调节电阻箱 R 13 的阻值使标准电流表的读数仍为 I a ,此时电阻 箱的阻值即为被测电流计的内阻 R g 。 + – mA 1 被改装电流计 + – ° ° mA ° 2 ° ° ° R 13 E R W 1.将 A 表头改装成大量程的电流表 因为微安表头的满刻度电流 ( 量程 ) 很小,所以在使用表头测量较大的电流前, 需 要扩大它的电流量程。扩大量程的方法是,在表头两端并联一个阻值较小的电阻 R P (如图 1)使流过表头的电流只是总电流的一部分。表头和 R P 组成的整体就是电流 表。 R P 称为分流电阻。选用不同阻值的 R P 可以得到不同量程的电流表。 在图 1 中,当表头满度时,通过电流表的总电流为 I ,通过表 图 1

高电压技术实验实验报告(二)

----高电压技术实验报告 高电压技术实验报告 学院电气信息学院 专业电气工程及其自动化

实验一.介质损耗角正切值的测量 一.实验目的 学习使用QS1型西林电桥测量介质损耗正切值的方法。 二.实验项目 1.正接线测试 2.反接线测试 三.实验说明 绝缘介质中的介质损耗(P=ωC u2 tgδ)以介质损耗角δ的正切值(tgδ)来表征,介质损耗角正切值等于介质有功电流和电容电流之比。用测量tgδ值来评价绝缘的好坏的方法是很有效的,因而被广泛采用,它能发现下述的一些绝缘缺陷: 绝缘介质的整体受潮; 绝缘介质中含有气体等杂质; 浸渍物及油等的不均匀或脏污。 测量介质损耗正切值的方法较多,主要有平衡电桥法(QS1),不平衡电桥法 及瓦特表法。目前,我国多采用平衡电桥法,特别是 工业现场广泛采用QS1型西林电桥。这种电桥工作电 压为10Kv,电桥面板如图2-1所示,其工作原理及操 作方法简介如下: ⑴.检流计调谐钮⑵.检流计调零钮 ⑶.C4电容箱(tgδ)⑷.R3电阻箱 ⑸.微调电阻ρ(R3桥臂)⑹.灵敏度调节钮 ⑺.检流计电源开关⑻.检流计标尺框 ⑼.+tgδ/-tgδ及接通Ⅰ/断开/接通Ⅱ切换钮 ⑽.检流计电源插座⑾.接地 ⑿.低压电容测量⒀.分流器选择钮⒁.桥体引出线 1)工作原理: 原理接线图如图2-2所示,桥臂BC接入标准电容C N (一般C N =50pf),桥臂BD由固定的无感电阻R 4 和可调电 容C 4并联组成,桥臂AD接入可调电阻R 3 ,对角线AB上接 QS1西林电桥面板图

入检流计G ,剩下一个桥臂AC 就接被试品C X 。 高压试验电压加在CD 之间,测量时只要调节R 3和C 4就可使G 中的电流为零,此时电桥达到平衡。由电桥平衡原理有: BD CB AD CA U U U U = 即: BD CB AD CA Z Z Z Z = (式2-1) 各桥臂阻抗分别为: X X X X CA R C j R Z Z ?+= =?1 44441R C j R Z Z BD ?+==? 33R Z Z AD == N N CB C j Z Z ?1= = 将各桥臂阻抗代入式2-1,并使等式两边的实部和虚部分别相等,可得: 3 4 R R C C N X ? = 44R C tg ??=?δ (式2-2) 在电桥中,R4的数值取为=10000/π=3184(Ω),电源频率ω=100π,因此: tg δ= C 4(μf ) (式2-3) 即在C 4电容箱的刻度盘上完全可以将C 4的电容值直接刻度成tg δ值(实际上是刻度成tg δ(%)值),便于直读。 2)接线方式: QS1电桥在使用中有多种接线方式,如下图所示的正接线、反接线、对角接线,低压测量接线等。 正接线适用于所测设备两端都对地绝缘的情况,此时电桥的D 点接地,试验高电压在被试品及标准电容上形成压降后,作用于电桥本体的电压很低,测试操作很安全也很方便,而且电桥的三根引出线(C X 、C N 、E )也都是低压,不需要与地绝缘。 反接线适用于所测设备有一端接地的情况,这时是C 点接地,试验高电压通过电桥加在被试品及标准电容上,电桥本体处于高电位,在测试操作时应注意安全,电桥调节手柄应保证具有15kv 以上的交流耐压能力,电桥外壳应保证可靠接地。电桥的三根引出线为高压线,应对地绝缘。 对角接线使用于所测设备有一端接地而电桥耐压又不够,不能使用反接线的情况,但这种接线的测量误差较大,测量结果需进行校正。 低压接线可用来测量低压电容器的电容量及tg δ值,标准电容可选配0.001μf (可测C X 范围为300pf ~10μf )或0.01μf (可测C X 范围为3000pf ~100μf ) 3.分流电阻的选择及tg δ值的修正:

51单片机数字电压表设计

基于51单片机的数字电压表设计 二级学院铜陵学院 专业自动化 班级 组号 组员 指导教师

简易的数字电压表的设计 目录 一课程设计任务书·····························································································································错误!未定义书签。 1.1 设计题目、目的····················································································································错误!未定义书签。 1.2 题目的基本要求和拓展功能··························································································错误!未定义书签。 1.3 设计时间及进度安排··········································································································错误!未定义书签。 二设计内容············································································································································错误!未定义书签。 2.1 元器件选型······························································································································错误!未定义书签。 2.2 系统方案确定·························································································································错误!未定义书签。 2.3 51单片机相关知识··············································································································错误!未定义书签。 2.4 AD转换器相关知识··············································································································错误!未定义书签。 三数字电压表系统设计 (7) 3.1系统设计框图 (8) 3.2 单片机电路 (9) 3.3 ADC采样电路 (10) 3.4显示电路 (11) 3.5供电电路和参考电压·························································································································································· 3.6 数字电压表系统电路原理图·········································································································································四软件部分 4.1 主程序 4.2 显示子程序 五数字电压表电路仿真 5.1 仿真总图 5.2 仿真结果显示 六系统性能分析 七心得体会 - 2 -

电路仿真实验报告

本科实验报告 实验名称:电路仿真 实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或

AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源 I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描点数为10,观察输出节点为Vout响应。 TRAN分析:分析5个周期输出节点为Vout的时域响应。 实验结果: 要求将实验分析的数据保存 (包括图形和数据),并验证结果是否正确,最后提交实验报告时需要将实验结果附在实验报告后。 根据并联谐振电路原理,谐振时节点out电压最大且谐振频率为w0=1/LC=1000 10,f0=w0/2 =503.29Hz 谐振时节点out电压 * 理论值由分压公式得u=2000/(2000+10)*5=4.9751V.

相关文档
相关文档 最新文档