文档库 最新最全的文档下载
当前位置:文档库 › 脉冲与数字电路试题

脉冲与数字电路试题

脉冲与数字电路试题
脉冲与数字电路试题

2004——2005学年第二学期期末试题《脉冲与数字电路》

一、填空(每空1分,20分)

(§2-1)17、在数字逻辑电路中,基本逻辑关系为、、三种。

实现这三种逻辑功能的电路称为、、。

18、作为逻辑取值的和并不表示数值的大小,而是表示对立的两个逻辑状态。

19、与门的逻辑功能为:。

20、反相器就是,它的逻辑功能是输入低电平时,输出为;输入为高电平时输出

为。其逻辑表示式为:。

21、MOS与非门可分为、和三种类型。其中

速度较慢。

22、三态门的输出端可以输出、、三种状态。

23、三态门可作为和,常用作计算机系统中各部件的输出级。

24、代数化简法包括、、、。

25、常用的显示译码器有三种、、。

1.数字逻辑电路是指输出与输入之间存在着一定逻辑关系的电路,又简称逻辑电路。

※2.逻辑代数最基本的运算有与运算、或运算和非运算。

3.真值表是把输入逻辑变量的各种可能取值和对应的逻辑函数值排列在一起组成的表格。

4.当且仅当决定某件事的各个事件中,任意具备一个和几个时,这件事情都能发生,那么这件事情和各个条件的关系叫或逻辑关系,逻辑式:Y=A+B

(§2-2)5.逻辑函数常用的表示方法有:真值表、逻辑表达式和逻辑图。

※(§2-3)6.与或非门电路输出与输入之间是先与、再或、后非的逻辑关系。

7.异或门实现的逻辑关系是输入相同时为0,不同时为1,其逻辑表达式为Y=A B+A B.

※8.由于TTL电路输出电阻小,一般TTL电路是不能接成线与方式的,即多个输出端不能连接在一起。9.在实际应用中,OC门不仅可实现线与逻辑,还可实现逻辑电平的变换。

10.三态门的输出端可以输出高电平、低电平、高阻态三种状态,可以看作是由两个与非门及一个二极管组成。※(§3-1)11。按照逻辑功能的不同,数字电路可分为两大类:组合逻辑电路和时序逻辑电路。

12。所谓组合电路,是指在任何时刻,输出信号仅取决于该时刻的输入信号,而与先前的状态无关的电路。13。卡诺图就是将真值表按一定的规则转换成相应变量的方格图。

(§3-2)14。十六进制数有十六个数码:0、1、2…9、A、B、C、D、E、F,其中D为十进制中的13,记数规律是逢十六进一。

※15。二进制数有两个数码:0和1,他的基数为2,记数规律是逢二进一。

16。十进制整数转换成二进制整数的方法是:连除2取余数。在连除时注意,除以2时,最先得到的余数放在最低位,最后得到的余数放在最高位,不能颠倒。

※17。把二进制数码0和1按一定的规律编排成一组组代码,并使每组代码具有一定的含义,就称做编码。能完成编码的数字电路称为编码器。

(§3-3)18。译码器是将具有特定含义的二进制代码按其原意翻译出来,并转换成相应的输出信号,译码器也叫解码器。

※19。二进制译码器是将二进制代码按其原意翻译成相应的输出信号的电路。

20。发光二极管与普通二极管的主要区别是它导通时能发光,即加正向电压时能发光。

21。发光二极管工作电压一般为1.5~3V,工作电流一般为10mA左右。发光二极管工作时要加驱动电流。※22。LED数码管内部发光二极管的接法有共阳极或共阴极接法。

23。液晶是一种界于固体和液体之间的有机化合物,它和液体一样可以流动。

(§4-1)24。触发器是构成时序电路的基本单元,它在某个时刻的输出状态不仅取决于该时刻的输入状态,而且还和它本身的状态有关,具有记忆功能。

※25。基本RS触发器有与非型和或非型两种。

※26。同步触发器在时钟脉冲到来时,触发器的状态随输入信号的不同而变化,没有时钟脉冲时,触发器的状态维持不变。

※27。锁存器在没有锁存信号时,其输出随输入信号的变化而变化,锁存信号作用时,锁存器将保持原状态不变。

二、判断题(每题1分,10分)

2、逻辑电路中,一律用“1”表示高电平,“0”表示低电平。()

3、与门的逻辑功能是:有1出1,全0出0 ()

4、与门和非门通常有两个或两个以上输入端,一个输出端。()

5、“或非”门的逻辑功能是“输入端全低,输出端则高;输入有低则低”()

6、数字集成电路从器件特性可分为TTL和MOS两大系列。()

7、逻辑函数运算的次序为:先括号,再乘,然后加,最后取非。()

2、逻辑电路中,一律用“1”表示高电平,“0”表示低电平。()

3、与门的逻辑功能是:有1出1,全0出0 ()

4、与门和非门通常有两个或两个以上输入端,一个输出端。()

5、“或非”门的逻辑功能是“输入端全低,输出端则高;输入有低则低”()

6、数字集成电路从器件特性可分为TTL和MOS两大系列。()

7、逻辑函数运算的次序为:先括号,再乘,然后加,最后取非。()

13、常见的8线——3线编码器有8个输出端,3个输入端。()

14、8421BCD码是最常用的二十进制码。()

15、七段数码显示器是用a~g七个发光段组合来构成二进制数的。()

16、Q n+1表示触发器原来所处的状态,即现态。()

17、在触发器的逻辑符号中用小圆圈表示反相。()

18、基本RS触发器要受时钟的控制。()

(§2-1)※1。能实现有0得0,全1得1的电路是与门电路。(√)

2.实现有0得0,全1得1的电路是或门电路。(×)

3.反相器就是非门。(√)

4.非门在输入高电平时,输出低电平。(√)

5.非门在输入低电平时,输出高电平。(√)

※(§2-2)6。有3个逻辑变量一共有6种可能的取值组合。(×)

7.2个逻辑变量一共有4种可能的取值组合。(√)

8.能实现有0得1,全1得0的电路是与门电路。(×)

9.※能实现有0得1,全1得0的电路是与非门电路。(√)

(§2-2)10。集电极开路门的输出端可以无条件的连接在一起。(√)

※11.三态门不可用作输出级。(×)

(§3-1)12.任何一个逻辑函数的最小项表达式一定是唯一的。(√)

13.任何一个逻辑函数的表达式经化简后,其最简式一定是唯一的。(×)

14.在任意时刻,组合逻辑电路输出信号的状态,仅仅取决于该时刻输入信号的状态。(√)

※15.A+AB=A+B(×)

16.1+1=1(√)

※17.逻辑代数与普通代数的运算规则是一样的。(×)

18.TTL与非门的输出端可以直接实现线与。(×)

19。经代数法化简得到的最简与或表达式,有时不是唯一的。(√)

※20。卡诺图中,任何相邻的两个小方格中的最小项仅有一个变量不同。(√)

21。两个相邻的最小项合并可以消去一个变量,三个相邻的最小项合并可以消去两个变量。(×)

(§3-2)22。二进制转换为十进制的方法是按权位展开相加。

23。译码器、编码器、全加器都是逻辑电路。(√)

(§3-3)24.七段数码显示器只能用来显示十进制数字,而不能用于显示其他信息。(√)

※25.与液晶数码显示器相比,LED数码显示器具有亮度高且耗电量小的优点。(×)

26.译码器的输入是二进制数码,输出是与输入数码相对应的具有特定含义的逻辑信号。(√)

27.数字显示电路通常由译码器、驱动电路、显示器等部件组成。(√)

※(§4-1)28.触发器与组合电路都没有记忆能力。(×)

※29.数字触发器在某一时刻的输出状态,不仅取决于当时输入信号的状态,还与电路的原始状态有关。(√)

※30.数字触发器复位后,其两个输出端均为0。(×)

三、选择题(每题3分,每套15分)

3、晶体三极管作为开关使用时,它的工作状态是()

A、截止或饱和

B、截止或放大

C、放大或饱和

D、放大

4、用万用表测得电子线路中晶体管的V CE≈+V CC,则该管处于()状态。

A、放大

B、饱和

C、截止

D、短路

5、为避免脉冲分压器输出寄生器电容引起的波形失真,应在脉冲分压器电路中加入()

A、补偿电阻

B、加速电容

C、补偿电感

D、补偿二极管

6、为减少开关时间,常在晶体管基极回路中引入加速电容,它的主要作用是()

A、开启时提供很大的反向基极电流

B、在关闭时提供很大的正向基极电流

C、隔开直流电压

D、在开启时提供很大的正向基极电流,在关闭时提供很大的反向基极电流

7、Y=A+B,若采用负逻辑,则下列说法中正确的是()

A、有高则高,全低则低

B、或逻辑关系

C、有低则高,全高则低

D、与逻辑关系

8、符合或逻辑关系的表达式是()

A、1+1=2

B、1+1=10

C、1+1=1

9、“与非门”输入和输出的逻辑关系是()

A、有1出1,全0出0

B、有0出1,全1出0

C、相同出1,不同出0

D、不同出1,相同出0

10、不是逻辑代数基本定律的是()

A、交换律

B、结合律

C、反演律

D、分配律

11、下列逻辑代数基本定律错误的是()

A、A+B=B+A

B、A+BC=(A+B)(A+C)

C、A(B+C)=AB+AC

D、AB=A+B

12、下列表达式中()是不对的。

A、A?0=A

B、A+0=A

C、A?0=0

13、优先编码器同时有两个输入信号时,是按()的输入信号编码。

A、高电平

B、低电平

C、优先级别

D、无法编码

14、2——4线编码器有()

A、2条输入线,4条输出线

B、4条输入线,2条输出线

C、4条输入线,8条输出线

D、8条输入线,2条输出线

15、段数码显示译码电路应有()个输出端

A、8

B、7

C、10

D、14

16、八位二进制数所能表示的最大十进制数为()

A 、255 B、256 C、128 D、99

17、现在一个十进制数(15)10,一个二进制数为(1101)2,这两个数的大小关系为()

A、(15)10>(1101)2

B、(15)10<(1101)2

18、触发器与组合电路比较()

A、两者都有记忆能力

B、只有组合逻辑电路具有记忆能力。

C、只有触发器具有记忆能力

※(§2-1)1、符合或逻辑关系的表达式是(D)

A、1+1=2B、1+1=10C、1+0=0D、1+1=1

2、能实现有0出0,全1出1逻辑功能的是(A)

A、与门B、或门C、非门D、三态门

※(§2-2)3、能实现有0出1,全1出0逻辑功能的是(C)

A、与门 B、或门 C、与非门 D、或非门

(§2-3)4、多个门的输出端可以无条件连接在一起的是(B ) A、三态门 B、集电极开路门 C、与非门 D、非门 ※5、可用总线连接的门电路是( A )

A、三态门 B、集电极开路门 C、与非门 D、非门 6、判断两个输入状态是否相同,当输入状态相同时,输出低电平的是( D ) A、或门 B、非门 C、同或门 D、异或门 ※(§3-1)7、下列逻辑运算正确的是(A ) A、 A+B=A+A B B、A+0=0

C、 AB+C=(A+B)(A+C) D、A+A

=0 8、逻辑表达式Y=AB+AB +A B化简的结果为( C ) A、Y=AB B、Y=A⊕B C、Y=A+B D、Y=1 9、逻辑函数式Y=ABC+A +B +C 的逻辑式为( C ) A、 ABC B、0 C、1 D、 BC ※10、逻辑函数式Y=C B A ++可以写成( A )

A、 A ﹡B ﹡C B、 ABC C、 BC D、 A+B+C (§3-2)11、八位二进制数能表示的十进制数的最大值是( A ) A、255 B、256 C、248 D、192 12、欲表示十进制的十个数码,需要二进制数码的位数是( B ) A、2位 B、4位 C、3位 D、5位 ※(§4-1)13、触发器与组和电路比较( C )

A、 两者都具有记忆能力 B、只有组合电路具有记忆能力 C、只有触发器具有

记忆能力 D、都不具有具有记忆能力 14、触发器工作时,时钟脉冲作为( D )

A、输入信号 B、清零信号 C、抗干扰信号 D、控制信号

15、主从JK触发器,在触发脉冲作用下,若JK同时接地,触发器实现( A ) A、保持 B、置0 C、置1 D、翻转

※16、主从JK触发器,在触发脉冲作用下,若JK同时悬空,触发器实现( D ) A、保持 B、置0 C、置1 D、翻转 17、主从触发器是一种能防止( B )现象的实用触发器。 A、一次变化 B、空翻 C、触发而不翻转 D、保持

※18、触发器电路中,利用置0端、置1端可以根据需要预先将触发器( D )

A、翻转 B、置0 C、置1 D、置0或置1

19、逻辑函数式Y=AB+A

+B 的逻辑式为(B ) A、 0 B、 1 C、AB D、AB

20、能实现有1出1,全0出0逻辑功能的是(B ) A、与门 B、或门 C、非门 D、三态门 ※21、输入状态相同时,输出低电平的门电路是( C )

A、开路门 B、非门 C、 异或门 D、与或非门

6、为减少开关时间,常在晶体管基极回路中引入加速电容,它的主要作用是( ) A 、开启时提供很大的反向基极电流 B 、在关闭时提供很大的正向基极电流 C 、隔开直流电压

D 、在开启时提供很大的正向基极电流,在关闭时提供很大的反向基极电流 7、Y=A+B,若采用负逻辑,则下列说法中正确的是( ) A 、有高则高,全低则低 B 、或逻辑关系 C 、有低则高,全高则低 D 、与逻辑关系 8、符合或逻辑关系的表达式是( ) A 、1+1=2 B 、1+1=10 C 、1+1=1 9、“与非门”输入和输出的逻辑关系是( ) A 、有1出1,全0出0 B 、有0出1,全1出0 C 、相同出1,不同出0 D 、不同出1,相同出0 10、不是逻辑代数基本定律的是( )

A 、交换律

B 、结合律

C 、反演律

D 、分配律

11、下列逻辑代数基本定律错误的是()

A、A+B=B+A

B、A+BC=(A+B)(A+C)

C、A(B+C)=AB+AC

D、AB=A+B

12、下列表达式中()是不对的。

A、A?0=A

B、A+0=A

C、A?0=0

13、优先编码器同时有两个输入信号时,是按()的输入信号编码。

A、高电平

B、低电平

C、优先级别

D、无法编码

14、2——4线编码器有()

A、2条输入线,4条输出线

B、4条输入线,2条输出线

C、4条输入线,8条输出线

D、8条输入线,2条输出线

15、段数码显示译码电路应有()个输出端

A、8

B、7

C、10

D、14

16、八位二进制数所能表示的最大十进制数为()

A 、255 B、256 C、128 D、99

17、现在一个十进制数(15)10,一个二进制数为(1101)2,这两个数的大小关系为()

A、(15)10>(1101)2

B、(15)10<(1101)2

18、触发器与组合电路比较()

A、两者都有记忆能力

B、只有组合逻辑电路具有记忆能力。

C、只有触发器具有记忆能力

四、简答题

1、什么叫与逻辑?什么叫或逻辑?

2、组合逻辑电路的分析分几个步骤?组合逻辑设计分几个步骤?

3、什么叫编码器?什么叫译码器?

五、分析计算题

1、某汽车驾驶员培训班进行结业考试,有三名评判员,其中A 为主评判员,B 和C 为副评判员。在评判时,按照少数服从多数的原则通过,但主评判员认为合格,亦可通过。设“1”表示合格,“0”表示不合格;Y 表示电路的输出,设“1”表示通过,“0”表示不通过。设计电路,用与非门实现上述功能。

2、已知逻辑电路及A 和B 的输入波形如图所是,请在1~4波形中选定输出F 的波形。如果B=0,输出波形又如何?

四、运用逻辑代数的基本定律化简

逻辑代数式(每题10分,每套10

分) 1、Y=A+ABC+A

B+B C+BCD

答案:省去中间过程 Y=A+B+C

※2、Y=AB+AB +A B+ABC 答案:Y=1

3、Y=B A C+A BC+ABC+ABC +AB 答案:Y=A+C

※4、Y=A B+A C+BC +AD+BDEF

F

1

1 0

答案:Y=A+B+C+D

5、Y=AB+AB+A B+AB

答案:Y=1

五、运用卡诺图化简各逻辑函数式(每题10分,每套10分)1、Y=ABC+ABC+ABC+ABC

卡诺图如下:

※2、Y=AB+BC+AB+AC+ABC

卡诺图如下:

化简结果:Y=AB+AC+BC

3、Y=ACD+ABC+BC+ACD+ABD+A BCD 卡诺图如下:

化简结果:Y=AB+CD+AC+BD

※4、Y=AD+BCD+AB+BC

卡诺图如下:

化简结果:Y=AB+AD+AC

六、数制之间的转换(每题10分,每套10分)

已知数的后面加不同的字母表示不同的数制,如D表示十进制,B表示二进制,H表示十六进制,Q表示八进制

1、23D=10111B=27Q=17H

过程略

※2、110011B= 51D= 63Q= 33H

过程略

※3、43Q=100011B=23H=35D

七、化出以下两输入端门电路的符号,写出逻辑表达式,列出真值表,根据输入信号波形化出输出信号的波形(每题10分,每套10分)

1、与门

2、或门

※3、与非门

※4、或非门

答案略

八、综和题(每题15分,每套15分)

1、设计一逻辑电路供三人表决使用,若多数赞成,则事情通过,否则事情不通过,试用与非门来构成这一逻辑图。

※2、某汽车驾驶员培训班进行结业考试,有三名评判员,其中A为主评判员,B和C为副评判员。在评判时,按少数服从多数的原则通过,但主评判员认为合格,亦可通过,用与非门实现上述功能。

※3、一单位一正三副,A为正职,对重大事情投票时,正职一票当两票,副职一票作一票,根据票数按少数服从多数的原则通过,用与非门实现上述功能。

答案略

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

脉冲与数字电路_张珣_习题

1.用一片74LS153及适当的门电路实现一位全加器. 2.用一片74LS90实现模8计数器(5421BCD码) 要求写出设计全过程,记录实验结果,计数器先用指示灯记录状态,再用示波器观察并记录波形 3.扇出系数N0的含意是什么? ∑)6,4,3,0(m,要求电路最简。 1.用与非门实现函数F(A,B,C)= 2.用74LS90实现M=24的8421BCD码计数器,先用数码管显示计数器状态,再 用示波器观察24分频信号,要求写出设计全过程,记录实验结果。 3.与非门的多余输入端如何处理? 1. 用74LS283实现8421BCD码加法时需有一个校正网络,试求出校正网络的函 数表达式,并搭试其电路。 注: 校正网络的输入信号可由开关提供。 2. 用74LS90构成一个模6计数器(用置9端实现)。 要求写出设计全过程,记录实验结果,计数器先用指示灯记录状态,再用示波器观察并记录波形。 3.扇出系数N0的含意是什么? 1.用与非门实现一个满足三人参加的多数表决器. 2.用一片74LS90及适当的门电路实现模7计数器(5421BCD码置9端) 3.扇出系数N0的含意是什么? ∑)6,4,3,0(m。 1.用一块74LS153及适当的门电路实现函数F(A,B,C)= 2.用一片74LS90及适当的门电路实现模7计数器(5421BCD码置9端) 3.普通的纽子开关能否用做CP脉冲?为什么? 1.74LS153实现一位全加器电路,要求写出设计全过程,记录实验结果。 2.用74LS90及与非门实现交通灯控制电路: ①A通道9秒绿灯,B通道9秒红灯; ②A通道9秒红灯,B通道9秒绿灯。

数字电路试题及答案

枣庄学院2011 ——2012 学年度第一学期 《数字电路》考试试卷(B卷) (考试时间:150分钟考试方式:开卷) 班级:姓名:学号: 考试内容 1.判断题正确的在括号内记“√”,错误的记“X”(共10分,每题1分) 1)“0”的补码只有一种形式。() 2)卡诺图中,两个相邻的最小项至少有一个变量互反。() 3)用或非门可以实现3种基本的逻辑运算。() 4)三极管饱和越深,关断时间越短。() 5)在数字电路中,逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。 () 6)多个三态门电路的输出可以直接并接,实现逻辑与。() 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 () 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。() 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。() 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。() 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1.不能将减法运算转换为加法运算。()A.原码 B.反码 C.补码 2.小数“0”的反码可以写为。()A....0 B....0 C....1 D. (1) 3.逻辑函数F=A B和G=A⊙B满足关系。()

A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 ( ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 ( ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分) 五.已知:TTL 与非门的I OL =15mA ,I OH =400μA ,V OH =,V OL =;发光二极管正向

脉冲与数字电路模拟试题第1套及答案

数字电子技术(第2版)第一套A卷 一、单选题(每题1分) 1.回差是( )电路的特性参数。 A时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2.石英晶体多谐振荡器的主要优点是( )。 A电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3.对TTL与非门多余输入端的处理,不能将它们( )。 A与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL与非门的关门电平是0.8V,开门电平是2V,当其输入低电平为0.4V,输入高电平 为3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5.逻辑函数ACDEF + =的最简与或式为() + Y+ A C AB A.C = B. B A Y+ Y= D. AB Y= = C. AD Y+ A 6.在什么情况下,“与非”运算的结果是逻辑0。() A.全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7.组合逻辑电路()。 A一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A与B均可 8.已知逻辑函数的真值表如下,其表达式是() 1

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD A B Y += B . 1=Y C . 0=Y D . D C B A Y +++=

数字电子技术基础—试题—填空

数字电子技术基础—试题 —填空 Last updated on the afternoon of January 3, 2021

一、填空题:(每空1分,共10分)1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12条、数据线为 8条。 1.八进制数 ) 8 的等值二进制数为() 2 ;十进制数 98 的 8421BCD 码为() 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入高电平。 3 .图15所示电路中的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有两个稳态,它可存储一位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或,与非门,或门 1.(11011)2 =(__27__)10 码的1000相当于十进制的数值8。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F的反函数F。 5.二极管的单向导电性是外加正向电压时导通,外加反向电压时截止。 6.晶体三极管作开关应用时一般工作在输出特性曲线的饱和区和截止区。 7.TTL三态门的输出有三种状态:高电平、低电平和高阻状态。 8. 集电极开路门的英文缩写为OC门,工作时必须外加上拉电阻和电源。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较多。 10.输出n位代码的二进制编码器,一般有 __2n____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。

(整理)数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门: 用一片二输入端四与非门组成或非门B + =,画出电路图,测试并填 = A A B Y? 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

《脉冲与数字电路》试题一(满分100分)

《脉冲与数字电路》试题一(满分100分) 一、填空题(每空1分,共40分) 1、脉冲是指存在时间极短的()或()的波形。在脉冲数字电路中, 常用的是()脉冲。 2、电容器充放电过程中,充放电的快慢取决于RC的大小。RC大,则充放电进行的(); RC小,则充放电进行的()。 3、二极管和三极管都具有()特性。利用二极管的单向导电性,可将其作为 ()使用。处于开关状态下的晶体管,其工作点在()区和()区之间跳动。 4、()是最基本的开关电路,它的特点是输出信号和输出信号反相。 5、触发器是数字电路的一种基本()单元,它能够()一位二进制的 信息。它应具备如下功能:有两个(),在输入信号的作用下,它可以置于()态,也可以置于()态;它还必须具有()功能。 6、对于同步RS触发器,用作计数器时,触发器会发生多次翻转,即出现() 现象。 7、TTL电路的电压传输特性分为()、()、()和() 四个阶段。 8、时序电路逻辑功能的特点是:电路任意时刻的输出信号不仅与当时的() 有关,还与电路原来的状态有关。 9、寄存器是具有能够()、()和传送数码的一种逻辑记忆元件,它 分为()和()两种。 10、计数器是数字系统中能()输入脉冲个数的数字电路。它可用来()、 ()、()。 11、卡诺图化简中,两个相邻最小项合并可消去()个变量;八个相邻最小项合 并可消去()个变量 12、CC7555集成电路由()、()和()、 放电管V以及()组成。 13、集成施密特触发器由()、()、()和() 四部分组成。 二、判断题(每小题1分,共20分) 1、脉冲分压器中的加速电容Ci是使输出电压变化加快,以克服Co的延缓作用。() 2、积分电路的输出延缓了输入的跳变部分。() 3、双稳态电路在电源接通后,电路一定处于V1饱和,V2截止。() 4、逻辑代数中的“加”和普通代数中的“加”完全一样。() 5、TTL电路中,V 6、V7对输入信号起箝位作用。() 6、式子A+AB=A成立。() 7、TTL电路实现了“与非”逻辑功能。() 8、由真值表得到的表达式一定是最简与或式。() 9、一位十六进制可以用四位二进制来表示。() 10、时序电路具有记忆功能。() 11、同步触发器中,CP脉冲到来之前,触发器不工作。() 12、编码和译码是两个相反的过程。() 13、对于JK触发器存在一次变化现象。()

如何看懂电路图之 脉冲电路

在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um 、脉冲周期T 或频率f 、脉冲前沿t r 、脉冲后沿t f 和脉冲宽度t k 来表示。如果一个脉冲的宽度t k =1 /2T ,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK 、2CK 、DK 、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1 )来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2 是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2 是接到一个负电源上的,而且R b1 和R b2 的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C ,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。 脉冲电路的另一个特点是一定有电容器(用电感较少)作关键元件,脉冲的产生、波形的变换都离不开电容器的充放电。 产生脉冲的多谐振荡器 脉冲有各种各样的用途,有对电路起开关作用的控制脉冲,有起统帅全局作用的时钟脉冲,有做计数用的计数脉冲,有起触发启动作用的触发脉冲等等。不管是什么脉冲,都是由脉冲信号发生器产生的,而且大多是短形脉冲或以矩形脉冲为原型变换成的。因为矩形脉冲含有丰富的谐波,所以脉冲信号发生器也叫自激多谐振荡器或简称多谐振荡器。如果用门来作比喻,多谐振荡器输出端时开时闭的状态可以把多谐振荡器比作宾馆的自动旋转门,它不需要人去推动,总是不停地开门和关门。 ( 1 )集基耦合多谐振荡器 图2 是一个典型的分立元件集基耦合多谐振荡器。它由两个晶体管反相器经RC 电路交叉耦合接成正反馈电路组成。两个电容器交替充放电使两管交替导通和截止,使电路不停地从一个状态自动翻转到另一个状态,形成自激振荡。从 A 点或 B 点可得到输出脉冲。当R b1 =R b2 =R ,C b1 =C b2 =C 时,输出是幅度接近E 的方波,脉冲周期T=1.4RC 。

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电子技术基础试题和答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( 10111111 )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12.

13.驱动共阳极七段数码管的译码器的输出电平为( 低)有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( A )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( B ) A . 八 B. 五 C. 四 D. 三 10.已知某触发的特性表如下(A 、B ( C )。 A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ D. Q n+1 = B

第六章脉冲波形的产生与整形电路-数字电子技术习题集

第六章 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭 4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()

7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。()8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是 产品。 2.施密特触发器具有现象,又称特性;单 稳触发器最重 要的参数为。 3.常见的脉冲产生电路有,常见的脉冲整形电路有、。 4.为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入态。 四、练习题 1. 如图所示的单稳态触发器电路中, G 1 和 G 2 为 CMOS 或非门,电源电压V DD =15V 。已知R d = 100k Ω,R = 51 k Ω,C d = 1000pF ,C = 0.01 μ F 。试计算输出脉冲的宽度和幅度。

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字逻辑电路实验仪器仪表的使用与脉冲信号的实验报告

数电实验报告 电子科学系班级实验日期2017年5月16日 组员姓名: 实验一数字逻辑电路实验仪器仪表的使用与脉冲信号的一. 实验目的 1.学会数字电路实验装置的使用方法 2.学会双踪数字示波器的使用方法 3.掌握脉冲信号的测量方法 二.主要仪器仪表、材料 数字逻辑电路实验装置、双踪数字示波器、数字万用表、74LS04反相器(标记引脚图见图1.1) 图1.1 74LS0引脚图 三.实验内容及步骤 1.脉冲信号周期和幅值的测量 将数字双踪示波器的第一通道Y1端连接到1KHZ的测试方波信号(用于检测垂直和水平电路的基本功能),Y1置0.5V档、Y2置1V 档。调整示波器相应的开关和旋钮,在示波器上显示出稳定的Y1、Y2两路信号。分别用示波器的0.2ms、0.5ms、1ms时间档测量及记录波形,填表1.1。 表1.1

2.直流电平测量 (1)用示波器测量逻辑电平:示波器的第一通道Y1端连接数字逻辑电路实验装置的逻辑电平,分别用0.5V、1V、2V、5V幅度档测量并记录,填入表1.2。 表1.2 (2)用示波器测量单脉冲:示波器Y1输入端连接数字逻辑电路实验装置的单脉冲,1V幅度档测量并记录,填表1.3。 (3用数字万用表测量单脉冲、逻辑电平:数字万用的5V直流电压档分别测量并记录数字逻辑电路实验装置的单脉冲、逻辑电平信号,填表1.4。 表1.4

3.逻辑门电路传输延时时间t pd的测量 平均传输延迟时间tpd是衡量门电路开关速度的参数。它是指输出波 形边沿的0.5Vm点相对于输入波形对应边沿的0.5Vm点的时间延迟。通常将从输入波上沿中点到输出波下沿中点的时间延迟称为导通延迟时间tpdL,从输入波下沿中点到输出波上沿中点的时间延迟称为截止延迟时间tpdH。如图1.2所示,门电路的导通延迟时间为tpdL,截止延迟时间为tpdH,则平均传输延迟时间为:tpd=12(tpdL+tpdH)。 图1.2门电路的导通延迟时间与截止延迟时间用74LS04六反相器(非门)按图1.3接线,输入100KHZ的连续脉冲,用双踪数字示波器测量输入与输出信号的相位差,并计算每个门的平均传输延迟时间t pd的值。图1.3 四.回答问题: 简述示波器和数字逻辑电路实验装置的功能和使用方法。 答:①示波器是一种电子测量仪器,可用来观测电流波形、测定频率、电压波形等,主要由电子管放大器、扫描振荡器、阴极射线管等组成。使用方法,步骤一:选择Y轴耦合方式。根据被测电信号频率,将Y

数字电子技术课后答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

脉冲与数字电路模拟试题第1套及答案

数字电子技术(第2版) 第一套 A 卷 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平 为3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( )

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++=

数字电子技术基础试题(卷)选择

二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 图1 2.下列几种TTL电路中,输出端可实现线与功能的电路是(D )。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器

7.某电路的输入波形u I 和输出波形u O 如图3所示,则该电路为(C )。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 1、在四变量卡诺图中,逻辑上不相邻的一组最小项为:(D ) A、m 1 与m 3 B、m 4 与m 6 C、m 5 与m 13 D、m 2 与m 8 2、L=AB+C 的对偶式为:(B ) A 、A+BC ; B 、(A+B ) C ;C 、A+B+C ; D 、ABC ; 3、半加器和的输出端与输入端的逻辑关系是(D ) A、与非 B、或非 C、与或非 D、异或 4、TTL 集成电路74LS138 是3/ 8线译码器,译码器为输出低电平有效,若输入为A 2 A 1 A 0 =101 时,输出:为(B )。 A . 00100000 B. 11011111 C.11110111 D. 00000100

东南大学数字电路实验第4章时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

脉冲与数字电路模拟试题第1套及答案讲义

1 数字电子技术(第2版) 第一套 A 卷 一、单选题(每题1分) 1. 回差是( B )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( B )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( B )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平 为3.2V 时,其低电平噪声容限为( C ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( B ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( D ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( D )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( C )

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( C )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( C )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( D )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( A )。 A . CD A B Y += B . 1=Y C . 0=Y D . D C B A Y +++=

相关文档
相关文档 最新文档