文档库 最新最全的文档下载
当前位置:文档库 › 实验二8线——3线优先编码器74ls148功能测试及应用

实验二8线——3线优先编码器74ls148功能测试及应用

实验二8线——3线优先编码器74ls148功能测试及应用
实验二8线——3线优先编码器74ls148功能测试及应用

数字电子技术基础实验报告

(3)验证优先编码器的真值表

真值表

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

优先编码器74LS148

优先编码器74LS148 有些单片机控制系统和数字电路中,无法对几个按钮的同时响应做出反映,如电梯控制系统在这种情况下就出出现错误,这是绝对不允许的于是就出现了74ls148优先编码器,先说一下他的基本原理.他允许同时输入两个以上编码信号。不过在设计优先编码器时已经将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。 〈74ls148管脚功能〉〈74ls148引脚图〉 74ls148优先编码器管脚功能介绍:为16脚的集成芯片,电源是VCC(16) GND(8),I0—I7为输入信号,A2,A1,A0为三位二进制编码输出信号,IE是使能输入端,OE是使能输出端,GS 为片优先编码输出端。 〈74ls148逻辑图〉〈74ls148逻辑表达式〉 使能端OE(芯片是否启用)的逻辑方程: OE =I0·I1·I2·I3·I4·I5·67·IE 当OE输入IE=1时,禁止编码、输出(反码):A2,A1,A0为全1。 当OE输入IE=0时,允许编码,在I0~I7输入中,输入I7优先级最高,其余依次为:

I6,I5,I4,I3,I2,I0,I0等级排列。 输入输出 EI I0I1I2I3I4I5I6I7A2A1A0GS EO 1 x x x x x x x x 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 x x x x x x x 0 0 0 0 0 1 0 x x x x x x 0 1 0 0 1 1 0 0 x x x x x 0 1 1 0 1 0 1 0 0 x x x x 0 1 1 1 0 1 1 1 0 0 x x x 0 1 1 1 1 1 0 0 1 0 0 x x 0 1 1 1 1 1 1 0 1 1 0 0 x 0 1 1 1 1 1 1 1 1 0 1 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0 <优先编码器74ls148功能表> 从以上的的功能表中可以得出,74ls148输入端优先级别的次序依次为I7,I6,…,I0 。当某一输入端有低电平输入,且比它优先级别高的输入端没有低电平输入时,输出端才输出相应该输入端的代码。例如:I5=0且I6=I7=1(I6、I7优先级别高于I5) 则此时输出代码010 (为(5)10=(101)2的反码)这就是优先编码器的工作原理。

EDA 4-7线译码器 8-3线编码器 电子时钟

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY decoder47 IS PORT(DCBA:IN STD_LOGIC_VECTOR(3 DOWNTO 0); gfedcba:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END ENTITY decoder47; ARCHITECTURE one OF decoder47 IS BEGIN PROCESS(DCBA) BEGIN CASE DCBA IS WHEN "0000"=> gfedcba<="0111111"; WHEN "0001"=> gfedcba<="0000111"; WHEN "0010"=> gfedcba<="1011011"; WHEN "0011"=> gfedcba<="1001111"; WHEN "0100"=> gfedcba<="1100110"; WHEN "0101"=> gfedcba<="1101101"; WHEN "0110"=> gfedcba<="1111100"; WHEN "0111"=> gfedcba<="0000111"; WHEN "1000"=> gfedcba<="1111111"; WHEN "1001"=> gfedcba<="1100111"; WHEN OTHERS=> NULL; END CASE; END PROCESS; END ARCHITECTURE one; 仿真波形:

黑盒测试实验报告

实验报告书 课程名称:软件测试 实验题目:黑盒测试报告 专业:教育技术学 班级:教技142 学生姓名:安卓 指导老师:郭小雪 所属学期:2017-2018学年第二学期

一、引言 1.1目的 测试报告为三角形问题和找零钱最佳组合问题项目的黑盒测试报告,目的在于总结测试阶段的测试以及分析测试结果。 实验环境 在Windows 2000(SP2) 或Windows XP 操作系统上,使用C++语言,工具作为开发环境(IDE) 实验要求 1.根据给出的程序分别使用等价类划分法、边界值分析法、判定表 方法、因果图法、正交试验法、功能图法、错误推测法来设计相应的测试用例。 2.输入数据进行测试,填写测试用例。 二、实验原理 黑盒测试原理:已知产品的功能设计规格,可以进行测试证明每个实现了的功能是否符合要求。软件的黑盒测试意味着测试要在软件的接口处进行。这种方法是把测试对象看作一个黑盒子,测试人员完全不考虑程序内部的逻辑结构和内部特性,只依据程序的需求规格说明书,检查程序的功能是否符合它的功能说明。因此黑盒测试又叫功能测试。 从理论上讲,黑盒测试只有采用穷举输入测试,把所有可能的输入都

作为测试情况考虑,才能查出程序中所有的错误。实际上测试情况有无穷多个,人们不仅要测试所有合法的输入,而且还要对那些不合法但可能的输入进行测试。这样看来,完全测试是不可能的,所以我们要进行有针对性的测试,通过制定测试案例指导测试的实施,保证软件测试有组织、按步骤,以及有计划地进行。黑盒测试行为必须能够加以量化,才能真正保证软件质量,而测试用例就是将测试行为具体量化的方法之一。具体的黑盒测试用例设计方法包括等价类划分法、边界值分析法、错误推测法、因果图法、判定表驱动法、正交试验设计法、功能图法等。 等价类划分的办法是把程序的输入域划分成若干部分(子集),然后从每个部分中选取少数代表性数据作为测试用例。每一类的代表性数据在测试中的作用等价于这一类中的其他值。该方法是一种重要的,常用的黑盒测试用例设计方法。 1 划分等价类 划分等价类:等价类是指某个输入域的子集合。在该子集合中,各个输入数据对于揭露程序中的错误都是等效的,并合理地假定:测试某等价类的代表值就等于对这一类其它值的测试。因此,可以把全部输入数据合理划分为若干等价类,在每一个等价类中取一个数据作为测试的输入条件,就可以用少量代表性的测试数据。取得较好的测试结果。等价类划分可有两种不同的情况:有效等价类和无效等价类。 有效等价类:是指对于程序的规格说明来说是合理的,有意义的输入数据构成的集合。利用有效等价类可检验程序是否实现了规格说明中

74ls148优先编码器

实验六编码、译码显示电路 一、实验目的 1.熟悉七段发光二极管显示器的结构及工作原理。 2.掌握分段式显示译码电路的设计方法及调试方法。 3.掌握编码器的逻辑功能及其应用。 4.掌握中规模显示译码器74LS48和优先编码器74LS148的功能和使用方法。 二、手动实验预习要求及思考题 1.复习8/3线优先编码器74LS148的工作原理及逻辑功能。 2.复习中规模译码器74LS48的工作原理及逻辑功能。 3.用发光二极管组成的七段显示器按结构分为共阴极和共阳极两种,中规模译码器74LS48应采用哪种结构形式?为什么? 4.译码电路输出与笔画段之间是否要加限流电阻器。 5.设计一个能显示0、一、二、三,四个字形的译码逻辑电路,输入两变量A、B。 三、仿真实验要求 采用EWB或者PSpice软件仿真电路,以便将仿真结果与实验结果进行比较。 四、实验仪器及器件 1.TTL集成芯片若干 2.万用表一块 3.电子学综合实验装置一台 五、实验内容与步骤 1.七段显示译码器的设计和调试 选用共阴极数码管、与非门74LS00、反相器74LS04和510?限流电阻,根据预习中设计出的能显示0、一、二、三,四个字形的译码逻辑电路连好,调试电路,到数码管能显示0、一、二、三,四个字形为止。要求写出设计过程,列出真值表,写出逻辑表达式,画出逻辑图。 选做:设计一个译码器,输入为两个变量,输出能显示出数字0~9和字母AbCdEFHP 中任四个字形。 2.测试74LS48译码逻辑功能 74LS48的各管脚的功能为: (1)试灯输入:当将LT置成低电平时,不论A、B、C、D输入状态如何,记录显示器状态。 (2)灭灯输入:当将BI置成低电平时,不论A、B、C、D输入状态如何,记录显示器状态。 (3)灭零输入:在A、B、C、D均为低电平时,把_____ RBI端分别接高电平、低电平,观 察数码管显示情况。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

黑盒测试软件测试实验报告2

软件测试与质量课程实验报告实验2:黑盒测试法实验

缺席:扣10分实验报告雷同:扣10分实验结果填写不完整:扣1 – 10分其他情况:扣分<=5分总扣分不能大于10分 参考代码如下: (1)程序参考答案: #include double main() { int hours; double payment,wage; wage=20; cout<<"please input hours:"; cin>>hours; if(hours>=0&&hours<=168){ if (hours<40) payment=hours*wage ; else if ((hours>=40) && (hours<=50)) payment=40*wage+(hours-40)*1.5*wage; else if (hours>50) payment=40*wage+10*1.5*wage+(hours-50)*3*wage; cout<<"The final payment are:"< void main() { int year; int month,maxmonth=12; int day,maxday; printf("请输入年份:(1000~3000)"); scanf("%d",&year); if(year<1000 || year>3000) { printf("输入错误!请从新输入!\n");

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

白盒测试和黑盒测试实验报告

软件质量保证与测试 实验指导 计算机工程学院

测试环境配置 1.setting Junit (1) start Eclipse Select windows-preferences-java-build path –class path variables (2) click new, the figure of new variable entry is shown. (3) name JUNIT_LIB

select file-选择JUnit 插件所对应的JAR文件所在地,在Eclipse的安装目录的plugins目录中 2.JUNIT的组成框架 其中,junit.framework 和junit.runner是两个核心包。 junit.framework 负责整个测试对象的框架 junit.runner 负责测试驱动 Junit的框架又可分为: A、被测试的对象。 B、对测试目标进行测试的方法与过程集合,可称为测试用例(TestCase)。

C、测试用例的集合,可容纳多个测试用例(TestCase),将其称作测试包(TestSuite)。 D、测试结果的描述与记录。(TestResult) 。 E、每一个测试方法所发生的与预期不一致状况的描述,称其测试失败元素(TestFailure) F、JUnit Framework中的出错异常(AssertionFailedError)。 JUnit框架是一个典型的Composite模式:TestSuite可以容纳任何派生自Test 的对象;当调用TestSuite对象的run()方法是,会遍历自己容纳的对象,逐个调用它们的run()方法。 3.JUnit中常用的接口和类 Test接口——运行测试和收集测试结果 Test接口使用了Composite设计模式,是单独测试用例(TestCase),聚合测试模式(TestSuite)及测试扩展(TestDecorator)的共同接口。 它的public int countTestCases()方法,它来统计这次测试有多少个TestCase,另外一个方法就是public void run(TestResult ),TestResult是实例接受测试结果,run方法执行本次测试。 TestCase抽象类——定义测试中固定方法 TestCase是Test接口的抽象实现,(不能被实例化,只能被继承)其构造函数TestCase(string name)根据输入的测试名称name创建一个测试实例。由于每一个TestCase在创建时都要有一个名称,若某测试失败了,便可识别出是哪个测试失败。 TestCase类中包含的setUp()、tearDown()方法。setUp()方法集中初始化测试所需的所有变量和实例,并且在依次调用测试类中的每个测试方法之前再次执行setUp()方法。tearDown()方法则是在每个测试方法之后,释放测试程序方法中引用的变量和实例。 开发人员编写测试用例时,只需继承TestCase,来完成run方法即可,然后JUnit获得测试用例,执行它的run方法,把测试结果记录在TestResult之中。 Assert静态类——一系列断言方法的集合 Assert包含了一组静态的测试方法,用于期望值和实际值比对是否正确,即测试失败,Assert类就会抛出一个AssertionFailedError异常,JUnit测试框架将

用74LS148和逻辑门电路实现16:4线优先编码器

实验三 MSI(中规模集成组合电路)应用 一、实验目的 1、掌握MSI芯片引脚图、功能表、原理图等查阅方法; 2、掌握MSI独立芯片的功能的测试与理解; 3、用MSI的设计与实现组合电路综合功能; 4、体会MSI与门电路的区别; 二、实验使用的器件和设备 四2输入与门74LS08 1片 8线-3线优先编码器74LS148 2片 TDS-4数字系统综合实验平台 2台 三、实验题目 用74LS148和逻辑门电路实现16:4线优先编码器。 四、实验过程 1、选择实验题目,分析逻辑功能 用74LS148和逻辑门电路实现16:4线优先编码器。 逻辑功能:按优先顺序从级别最高的输入信号编码,当多个输入同时有效时,只对其中优先级别最高的输入信号编码,而对级别较低的输入信号不予理睬。 2、根据逻辑功能写出真值表

0 X X X X X 0 1 1 1 1 1 1 1 1 1 1 0 1 0 0 0 1 0 X X X X 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 0 0 1 0 X X X 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 X X 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 0 1 0 X 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 3.画出实际连线图 4.连接电路,测试逻辑电路输出数据,并记录结果 根据实际操作情况,实测值与理论值一致。 5.分析数据,是否实现所需的逻辑功能。 理论值与实测值一致,电路接线正确,成功实现所需逻辑功能。 五、实验体会

实验三-8线3线优先编码器

姓名学号实验日期成绩 XXX XXXXXXX年月日 实验三基本组合逻辑电路的PLD实现(2) ●实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器 ●实验目的: 1.熟悉用可编程器件实现基本组合逻辑电路的方法。 2.进一步熟悉MAX+plus II软件的使用方法,熟悉原理图输入法和VerilogHDL输入 法,进一步熟悉如何编译,器件选择,管脚分配和仿真。 ●预习要求: 1.回顾数字电路中关于优先编码器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言输 入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语言。 2.优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优 先等级对同时输入的多路信号中优先级最高的一路进行编码。 3.8线-3线优先编码器的真值表如下图所示: ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.新建一张电路图文档,调用8线-3线优先编码器芯片74148(注意其均是低电平有 效),完成设计。 3.对电路图进行编译,仿真。 4.用VerilogHDL语言方式编写一个8线-3线优先编码器。 5.完成编译,管脚分配,并对模块进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据:

1. 8线-3线优先编码器电路图: 2. 8线-3线优先编码器电路仿真波形: 3 .8线-3线优先编码器Verilog代码:

软件测试实验报告一

广东*融学院实验报告 课程名称:软件测试 」、实验目的及要求 1、理解测试用例的重要性。 2、熟练掌握等价类划分、边界值方法、决策表和因果图法设计测试用例。 二、实验环境及相关情况(包含使用软件、实验设备、主要仪器及材料等) 1. 使用软件:装有QTP功能测试软件 2 .实验设备:装有Windows的联网的个人计算机 三、实验内容及步骤(包含简要的实验步骤流程) 1、实验题目:登陆框测试 在各种输入条件下,测试程序的登录对话框功能。 用户名和密码的规格说明书如下:(密码规则同用户名规则。) 用户名长度为6至10位(含6位和10 位); 用户名由字符(a-z、A-Z)和数字(0-9)组成; 不能为空、空格和特殊字符。 要求:按照规格说明书,分别用等价类划分和边界值方法设计测试用例。 步骤:(1)分析规格说明书,确定输入条件、输出条件的有效等价类、无效等价类以及各个边界条件;(2)第二步:填表格并编号;(3)第三步:设计测试用例;(4)第四步:执行测试用例。 2、员工薪制冋题。 (1)年薪制员工:严重过失,扣年终风险金的4%,过失,扣年终风险金的2%。 (2)非年薪制员工:严重过失,扣月薪资的8%,过失,扣月薪资的4%。 步骤:(1)分析程序的规格说明,列出原因和结果;(2)找出原因与结果的因果关系、原因与原因之间的约束关系,画出因果图;(3)将因果图转化成决策表;(4)根据决策表,设计测试用例的输入数据和预期输出。

四、实验结果(包括程序或图表、结论陈述、数据记录及分析等,可附页) 等价类划分方法: 五、实验总结(包括心得体会、问题回答及实验改进意见,可附页) 通过本次实验,我理解了测试用例的重要性。熟练掌握了等价类划分、边界值方法、决策表和因果图法设计测试用例。 六、教师评语 1、完成所有规定的实验内容,实验步骤正确,结果正确; 2、完成绝大部分规定的实验内容,实验步骤正确,结果正确; 3、完成大部分规定的实验内容,实验步骤正确,结果正确; 4、基本完成规定的实验内容,实验步骤基本正确,所完成的结果基本正确; 5、未能很好地完成规定的实验内容或实验步骤不正确或结果不正确。 评定等级: 签名:

实验四 编码器和译码器-试验报告

实验报告 ----- 李瑞辉 一、实验目的 1. 学会用逻辑图和VHDL 语言设计3-8 译码器; 2. 学会用逻辑图和VHDL 语言设计8-3 编码器; 二、实验原理 1.74148:8-3优先编码器(8 to 3 Priority Encoder) (1)用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。 (2)逻辑表达式 ①使能输出端O E的逻辑方程为: EO =I0· I1· I2· I3· I4· I5· 67· EI ②扩展片优先编码输出端G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI ③由74148真值表可列输出逻辑方程为: A2 =(I4+I5+I6+I7)EI A1 = (I2I4I5+I3I4I5+I6+7)· EI A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI (3)真值表 INPUTS OUTPUTS EN0N 1N 2N 3N 4N 5N 6N 7N A2 A1 A0 EO GS 1×××××××× 1 1 1 1 1

0××××××× 00 0 00 1 0×××××× 0 10 0 10 1 0××××× 0 1 10 1 00 1 0×××× 0 1 1 10 1 10 1 0××× 0 1 1 1 1 1 0 00 1 0×× 0 1 1 1 1 1 1 0 10 1 0× 0 1 1 1 1 1 1 1 1 00 1 00 1 1 1 1 1 1 1 1 1 10 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 2. 74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 (1)用途:与编码器相反。用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。 (2)逻辑表达式 (m i 是最小项) (3)真值表 INPUT OUTPUT

解决基于74LS148四路抢答器的公平性问题

解决基于74LS148四路抢答器的公平性问题 发表时间:2018-09-18T09:29:21.470Z 来源:《知识-力量》2中作者:尚高阳[导读] 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。而抢答器电路设计也作为各高校电子信息类专业同学的模电实践课题之一。但在对电路要求和主要芯片74LS148的探究时,发现74LS148优先编码器在抢答时并不能实现公平的问题,因而用所学的数字电路逻辑设计知识,对此提出了改进方法。 (重庆交通大学信息科学与工程学院,重庆 400074)摘要:抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。而抢答器电路设计也作为各高校电子信息类专业同学的模电实践课题之一。但在对电路要求和主要芯片74LS148的探究时,发现74LS148优先编码器在抢答时并不能实现公平的问题,因而用所学的数字电路逻辑设计知识,对此提出了改进方法。关键词:四路抢答器; 74LS148; 抢答公平性引言 课题要求以74LS148为主要芯片之一,来设计四路智力竞赛抢答器,具有抢答、编码、译码、数字显示的功能。 本文中四路抢答器的设计用仿真软件Proteus完成原理图的设计和电路仿真,在此基础上对电路进行改进,可实现抢答公平性。 1、四路抢答器设计原理 1.1 总电路框图 1.2抢答器原理图 1.3 电路设计及原理说明 [1] 当主持人控制总开关置于“清零端”时,RS 触发器的R端均为“0”,4个触发器输Q全部为零,使74LS48的BI=0数码管显示全灭;同时74LS148的选通输入端ST=0,使之处于工作状态,但此时锁存电路不工作。 当主持人将总开关置于“开始”端时,优先编码器和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待信号输入端输入信号。当有选手按下抢答开关时,比如“S1 ”被按下,74LS148的输出Y2Y1Y0=001,经RS 锁存后,BI=1,74LS279处于工作状态,4Q3Q2Q=A2A1A0=001,显示器显示“1”。 2.主要芯片说明 2.1 优先编码器 74LS148 [2] 工作原理:该编码器有8个信号输入端,且优先级比从I7到I0依次降低,3个二进制码输出段。此外,还设置了输入使能端EI,输出使能端EO和优先编码工作状态标志GS。 当EI=0时,编码器工作;当EI=1时,3个输出均为高电平,且EO,GS也为高电平。因此,148输出输入都是低电平有效。 可根据设计的要求和优先编码器的真值表来写出写出相应的逻辑表达式。 2.2 锁存器74LS279 H:高电平 L:低电平

利用simulink实现8线3线编码器的设计仿真

利用simulink实现8线3线编码器的设计仿真 1 问题描述(8线3线编码的设计的数学建模) 在数字电路中,编码器、译码器的应用极为广泛。所谓编码,就是在选定的一系列二值代码中赋予每个代码以固定的含义,执行编码功能的电路统称为编码器。 实现8线3线编码器,它的功能是对输入端的8个信号进行编码,输出三位二进制数。要求输入信号每次只有一个事0,其余7个是1。其中0值是待编码信号。我们将用MATLAB 的simulink软件包实现这种常用的数字组合逻辑电路,并进行仿真。 2. 系统模型及建模分析 根据前面介绍的8线3线编码器的功能,可列出下面得真值表: 有了真值表之后,就可以写出输入输出间的逻辑函数式如下: 在写出逻辑表达式之后,我们就可以用与非门来实现这个表达式。 3. 仿真实现; 在进行仿真时,将在8个输入端依次加一个低电平,然后用3个示波器观察3个输出波形。用simulink实现这个数学电路系统一共分三个步骤: 第一步:添加模块,在MATLAB中运行simulink,打开模块浏览器,然后新建一个模型。接下来把本次仿真需要的模块添加到模型中。这里共需要三种模块:与非门4个,离散信号脉冲源8个,示波器3个。与非门位于simulink模块库中的logocal operater,离散信号源脉冲 精选

位于simulink—sources—pulse generator,示波器位于simulink—sinks—scope。将这三种模块到拖到一个模型中。 点击与非门模块的名称,即写着Logical Operator 的区域,将名称改为Y0,接着点击选中与非门模块不要松开鼠标,按住Ctrl 键拖动这个模块到另一个位置,就会复制一个新的Y1模块。用同样的方法得到Y2,类似地将离散信号脉冲源模块名字改为J0,同样得到J0,J1…J7。最后将示波器复制三个。这样一来就将所有模块添加到模型中了。 第二步:修改模块参数 首先双击Y0,打开属性对话框,将操作(Operator)修改为“NAND”,输入节点数改为4,然后点击OK确定。Y1,Y2也做同样修改。 然后,通过双击示波器模块Scope,得到一个图形界面,在其工具栏上单击打印图标右边的Parameters图标,打开示波器属性设置对话框,将坐标轴改为3,同样地,将示波器Scope1,Scope2的坐标轴数改为4。 最后修改脉冲源的属性。双击离散脉冲源J0,将看到关于它的属性对话框,可以从对话框中看到5个参数设置,分别为: Amolititude:方波信号的幅度; Period:方波信号的周期; Pulse width: 脉冲宽度; Sample Time:采样时间长度,以秒为单位。 针对这个例子中的要求,我们需要J0到J7依次为低电平,所以将J0到J7的周期调整为8,脉冲宽度设为7,相位延迟为-7到0,幅度和采样时间用默认值。这样在零时刻,J0为低电平,其余输入为高电平;过一个采样时间,J1变为低电平。这样下去,到第七个采样时间,J7变为低电平。从而实现了设计要求。 第三步:联系及仿真 现在将各模块之间的连线上。根据输入输出的逻辑表达式,将J1、J3、J5、J7接到Y0的输入,将J2、J3、J6、J7接到Y1的输入,将J4、J5、J6、J7接到Y2 的输入。然后用示波器Scope监测Y2、Y1、Y0的输出,Scope1 用来监视J0到J3这4个波形,Scope2 用来监视J4到J7这4个波形。这样我们就完成了连线、 在最后进行仿真之前,先保存结果到自己的工作目录里。 仿真结束后,可以从示波器Scope1,Scope2 上看到编码器的8个输入端的波形,在示波器Scope中看到编码器输出波形。

最新计算器黑盒测试实验报告.

一、测试目的 设计测试用例、使用各种测试方法进行计算器的测试,并对测试结果进行分析 二、测试内容 1.软件说明: 实现一个小的计算器程序,只需要完成加、减、乘、除运算,设计测试用例,并对测试结果进行分析,同时计算器运行稳定。 2、设计测试用例 3、执行测试 4、测试结果分析 5、源代码 三、测试步骤 (一)、计算器界面 2、设计测试用例 (1)等价类测试: 1、4个等价类测试用例的分析过程如下: 测试用例加减乘除预期输出

整型55+50 78-24 15*25 36/4 正常运算 小数25.3+12.7 14.3-11.7 25.6*12.8 50.2/20.7 正常运算 负数-20+-21 (-15)-(-14) -12*-12 -16/-5 正常运算 无效输入E1+t2 G4-k5 I5*l6 Ff/se 非法操作无法输入 2、对应测试的结果分析: 整型: 1.加(55+50) 2.减(78-24) 3.乘(15*25) 4.除(36/4)

小数 1.加(25.3+1 2.7) 2.减(14.3-11.7) 3.乘(25.6*12.8) 4.除(50.2/20.7) 负数 6.加 -20+-21

7.减(-15)-(-14) 8.乘-12*-12 9.除 -16/-5 (其中算式写法错误导致正常运算错误) 无效输入 1.加(E1+t2): 程序中无效数字无法正常输入,程序无法进行。 2.减(G4-k5) 程序中无效数字无法正常输入,程序无法进行。(同上)3.乘(I5*l6) 程序中无效数字无法正常输入,程序无法进行。(同上)4.除(Ff/se) 程序中无效数字无法正常输入,程序无法进行。(同上)

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

黑盒测试实验报告记录

黑盒测试实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

黑盒测试实验报告 一实验内容 1、系统地学习和理解黑盒测试的基本概念、原理,掌握黑盒测试的基本技术和方 法; 2、对一个已知的程序进行测试。 3、通过试验和应用,要逐步提高和运用黑盒测试技术解决实际测试问题的能力; 4、完成实验并认真书写实验报告(要求给出完整的测试信息,如测试程序、测试 用例,测试报告等) 二实验原理 黑盒测试原理:已知产品的功能设计规格,可以进行测试证明每个实现了的功能是否符合要求。软件的黑盒测试意味着测试要在软件的接口处进行。这种方法是把测试对象看作一个黑盒子,测试人员完全不考虑程序内部的逻辑结构和内部特性,只依据程序的需求规格说明书,检查程序的功能是否符合它的功能说明。因此黑盒测试又叫功能测试。 从理论上讲,黑盒测试只有采用穷举输入测试,把所有可能的输入都作为测试情况考虑,才能查出程序中所有的错误。实际上测试情况有无穷多个,人们不仅要测试所有合法的输入,而且还要对那些不合法但可能的输入进行测试。这样看来,完全测试是不可能的,所以我们要进行有针对性的测试,通过制定测试案例指导测试的实施,保证软件测试有组织、按步骤,以及有计划地进行。黑盒测试行为必须能够加以量化,才能真正保证软件质量,而测试用例就是将测试行为具体量化的方法之一。具体的黑盒测试用例设计方法包括等价类划分法、边界值分析法、错误推测法、因果图法、判定表驱动法、正交试验设计法、功能图法等。 等价类划分的办法是把程序的输入域划分成若干部分(子集),然后从每个部分中选取少数代表性数据作为测试用例。每一类的代表性数据在测试中的作用等价于这一类中的其他值。该方法是一种重要的,常用的黑盒测试用例设计方法。 1 划分等价类 划分等价类:等价类是指某个输入域的子集合。在该子集合中,各个输入数据对于揭露程序中的错误都是等效的,并合理地假定:测试某等价类的代表值就等于对这一类其它值的测试。因此,可以把全部输入数据合理划分为若干等价类,在每一个等价类中取一个数据作为测试的输入条件,就可以用少量代表性的测试数据。取得较好的测试结果。等价类划分可有两种不同的情况:有效等价类和无效等价类。 有效等价类:是指对于程序的规格说明来说是合理的,有意义的输入数据构成的集合。利用有效等价类可检验程序是否实现了规格说明中所规定的功能和性能。 无效等价类:与有效等价类的定义恰巧相反。 设计测试用例时,要同时考虑这两种等价类。因为,软件不仅要能接收合理的数据,也要能经受意外的考验。这样的测试才能确保软件具有更高的可靠性。 2 边界值分析 边界值分析是通过选择等价类边界的测试用例。边界值分析法不仅重视输入条件边界,而且也必须考虑输出域边界。它是对等价类划分方法的补充。 (1)边界值分析方法的考虑:

相关文档