文档库 最新最全的文档下载
当前位置:文档库 › 《计算机网络课程设计》大作业

《计算机网络课程设计》大作业

《计算机网络课程设计》大作业
《计算机网络课程设计》大作业

吉林大学 2019-2020学年第一学期期末考试《计算机辅助设计》大作业答案

吉林大学网络教育学院 2019-2020学年第一学期期末考试《计算机辅助设计》大作业答案 学生姓名专业 层次年级学号 学习中心成绩 年月日

作业完成要求:大作业要求学生手写,提供手写文档的清晰扫描图片,并将图片添加到word 文档内,最终wod文档上传平台,不允许学生提交其他格式文件(如JPG,RAR等非word 文档格式),如有雷同、抄袭成绩按不及格处理。 一、问答题(每小题7分,共70分) 1、如何按项目规划图纸?它和按文件夹形式存放图纸的区别是什么? 2、AutoCAD 的命令输入的方式有几种? 3、如何将图纸标题和图纸编号设置为字段?如何更新图纸的图纸标题和图纸编号字段? 3、如何创建和更新图纸一览表和指定一览表的格式? 4、AutoCAD提供了哪12种尺寸标注类型? 5、简述块的主要作用。 7、绘图过程中常需要输入点的位置,AutoCAD提供了哪几种输入点的方式? 8、比较REDRAW命令与REGEN命令。 9、什么是PLINE(多义线)?比较PLINE线与LINE线。 10、图形的复制命令主要有哪些?各自的功能是什么? 二、制图题(30分) 根据图所示“组合体”两视图,按1:1的比例绘制其三视图,不注尺寸。( 30分 )

答案 作业完成要求:大作业要求学生手写,提供手写文档的清晰扫描图片,并将图片添加到word 文档内,最终wod文档上传平台,不允许学生提交其他格式文件(如JPG,RAR等非word 文档格式),如有雷同、抄袭成绩按不及格处理。 一、问答题(每小题7分,共70分) 1、如何按项目规划图纸?它和按文件夹形式存放图纸的区别是什么? 答:按照图纸编号、专业分门别类,如果存档,要按照规范copy要求折叠、装订成册,如果要施工,方便翻阅,就按侧边装订,平摊避光存放。 建筑工程图纸分为建筑施工图、结构施工图、设备施工图。它是审批建筑工程项目的依据;在生产施工中,它是备料和施工的依据。 当工程竣工时,要按照工程图的设计要求进行质量检查和验收,并以此评价工程质量优劣;建筑工程图还是编制工程概算、预算和决百算及审核工程造价的依据;建筑工程图是具有法律效力的技术文件。 2、AutoCAD 的命令输入的方式有几种? 答: 1)、从菜单栏中输入 2)、点击工具栏中的图标3)、从命令行中输入 4)、点击屏幕菜单中的命令 3、如何将图纸标题和图纸编号设置为字段?如何更新图纸的图纸标题和图纸编号字段? 答: 答:写入文字时对图纸标题和编号选择“插入字段”,选择“图纸集”字段类别,字段名称分别选择“当前图纸标题”和“当前图纸编号”,在图纸集管理器中对标题和编号进行修改后,选择下拉菜单“工具”——“更新字段”命令,可以更新标题栏中的字段。 4、如何创建和更新图纸一览表和指定一览表的格式? 答:写入文字时对图纸标题和编号选择“插入字段”,选择“图纸集”字段类别,字段名称分别选择“当前图纸标题”和“当前图纸编号”,在图纸集管理器中对标题和编号进行修改后,选择下拉菜单“工具”——“更新字段”命令,可以更新标题栏中的字段。

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

《现代设计方法2》大作业

专业限选课大作业 课程名称: 现代设计方法姓名: 李宝东 班级: 10机自本2 学号: 1010111056 2013年10月24日 铜陵学院机械工程学院 机械产品的设计方法

摘要:学技术的飞速发展,产品功能要求的日益增多,复杂性增加,寿命期缩短,更新换代速度加快。根据目前国内外设计学者进行机械产品设计时的主要思维特点,将产品进行不同的方案设计、可靠性设计等各种设计。 关键词:机械产品方案设计方法可靠性设计计算机辅助软件 机械产品的设计方法 Abstract: the rapid development of science technology, the product functional requirements increasingly, complexity increases, life period shorten, update speed. According to the current design of scholars at home and abroad were reviewed and the main thinking characteristics of mechanical product design, the product with different design, reliability design and other design. Keywords: mechanical product scheme design method of reliability design cad software 科学技术的飞速发展,产品功能要求的日益增多,复杂性增加,寿命期缩短,更新换代速度加快,出现了一批新的设计学科和一系列新的设计理论与设计方法,诸如优化设计、可靠性设计、计算机辅助设计等。 现代设计是以产品为总目标的一系列种类繁多的现代设计法和技术的综合运用。生产技术的需要和先进设计手段的出现,必须促进设计领域的改革和发展,对于机械设计来说几乎是更新换代,传统的常规设计方法受到很大冲击,用科学的设计方法代替经验的、类比的设计方法已势在必行。缩短设计周期、提高设计质量、发展设计理论、改进设计技术及方法已成为当前机械设计的必然趋势。 机械产品的方案设计方法 1、结构模块化设计方法从规划产品的角度提出:定义设计任务时以功能化的产品结构为基础,引用已有的产品解(如通用零件部件等)描述设计任务,即分解任务时就考虑每个分任务是否存在对应的产品解,这样,能够在产品规划阶段就消除设计任务中可能存在的矛盾,早期预测生产能力、费用,以及开发设计过程中计划的可调整性,由此提高设计效率和设计的可靠性,同时也降低新产品的成本。

(完整版)毕设-简易音乐喷泉设计

电子系统设计 大 作 业 课题:简易音乐喷泉的制作 组员: 任课老师:

目录 一、设计任务和分析 -------------------------------------------------------- 1 二、硬件电路设计 ---------------------------------------------------------- 1 2.1总体设计------------------------------------------------------------ 1 2.2各模块设计---------------------------------------------------------- 2 2.2.1单片机最小系统------------------------------------------------ 2 2.2.2 A/D转换模块------------------------------------------------- 3 2.2.3 音频放大模块-------------------------------------------------- 3 2.2.4 LED灯及电机------------------------------------------------- 4 三、程序设计-------------------------------------------------------------- 5 3.1主程序设计---------------------------------------------------------- 5 3.1.1设计框图------------------------------------------------------ 5 3.1.2程序代码------------------------------------------------------ 5 3.2 A/D转换程序设计--------------------------------------------------- 6 3.2.1 A/D转换程序原理--------------------------------------------- 6 3.2.2 A/D转换程序框图--------------------------------------------- 7 3.2.3 A/D转换子程序代码------------------------------------------- 8 3.3 PWM调压设计------------------------------------------------------- 9 3.3.1 程序框图 ---------------------------------------------------- 9 3.3.2 PWM调压子程序----------------------------------------------- 9 四、调试和测试结果分析 --------------------------------------------------- 11 4.1调试--------------------------------------------------------------- 11 4.2 结果分析 ---------------------------------------------------------- 12

现代电子系统设计与实践 复习资料

一、选择题 1、蓝色发光二极管正常工作时,其二端电压大约等于() A、1V B、2V C、3V D、4V 2、二极管由于省电,长寿,鲜艳而常被用来作指示,以下哪个工作电流是合适的?() A、0.5mA B、5mA C、50mA D、500mA 3、三极管在放大状态工作在什么区?() A、截止区 B、放大区 C、非线性区 D、饱和区 4、整流电源中的滤波电容的取值与负载有关,R*C取值?() A、>(2~5)T/2 B、>(2~5)T/2 C、C=1000uF D、随意 5、单晶体管由于其震荡的特有特性常可用于() A、放大特性 B、负阻特性 C、同步控制 D、震荡特性 6、我们经常可以看到,在电子产品中,有黑色的铝材,都是为了(C) A、美观 B、增加重量 C、便于散热 D、便于器件固定 7、运放工作正常的时候,其同相端和反相端的电压是() A、6V B、1/2Vcc C、1/3Vcc D、1/4Vcc 8、差分电路中的射极电阻可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 9、反相器作放大器时,其上的反相电阻可以取() A、100欧 B、1千欧 C、100千欧 D、1兆欧 10、共发射极放大电路中,Uce取多少才合适() A、6V B、1/2 Vcc C、1/3Vcc D、1/4Vcc 11、为了改善组合逻辑电路由于竞争而出现冒险而影响后续电路的正常工作,下面哪项措施是不妥的() A、增加选通门 B、换滤波器 C、选高速器件 D、消除卡诺图中的相切相

12、用CMOS非门制作的晶体振荡器中,没有信号输出,最易疏忽的是() A、忘了换电容 B、震荡电容用了电解电容 C、忘了接反馈电阻 D、忘了接电容 13、设计多输出组合逻辑,既方便又经济的是采用() A、门电路 B、译码器 C、数据选择器 D、CPLD 14、普通的单电压比较器,左转换点,可能出现来回振荡现象,解决的办法是() A、提高比较电压 B、加负反馈 C、加正反馈 D、降低比较电压 15稳压二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 16、高频放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 17、检波二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 18、做实验时常常不小心把电源短路了,但也没发现电源坏了,那是因为() A、电源质量不好 B、有过压保护 C、有输出过载保护 D、运气好 19、OTL放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 20、检波电路的后缀如果输入阻抗不够大,可能会出现() A、惰性失真 B、滤波效果变差 C、限幅失真 D、负锋切割 21、在正交鉴频电路中,为了便于制作正交线圈,和降低成本,实际的正交线圈是一个() A、纯电感 B、晶体 C、并有合适的电容 D、并了个电阻 22、差分电路中的恒流源可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 23、对于MCS-51系列单片机,内部RAM中堆栈指针SP的指针指向()

计算机辅助设计大作业教材

2015—2016 学年第二学期研究生课程考核 (读书报告、研究报告) 考核科目:计算机辅助设计与制造 学生所在院(系):机电工程学院 学生所在学科:机械工程 姓名:张娜娜 学号:1502210093 题目:应用三维建模软件构建一个零件模型,描述建模过程。针对该零件的具体情况(比如相关模型的表示方法、数据结构、显示操作情况等),就涉及到的所学知识进行论述。

问题 1. 应用三维建模软件构建一个零件模型,描述建模过程。针对该零件的具体情况(比如相关模型的表示方法、数据结构、显示操作情况等),就涉及到的所学知识进行论述。 2. 计算机是如何帮助我们完成设计任务的?你了解哪些CAD系统?结合你应用过的软件加以论述。

问题1: 应用Pro/E对平口虎钳的固定钳身进行三维建模。 1. 启动Pro/E,新建文件,选择零件设计。 2. 选择俯视基准面,绘制如图1-1所示的截面。 ●知识点:由于矩形已经形成了特征,所以经过确定矩形的两个对角点即可确定矩形,完成后修改对应的长宽即可完成草图的绘制。 四叉树。二维图形是以四叉树的形式存储数据的。它的基本思想是将平面划分为四个子平面,这些子平面任可以继续划分,通过定义这些平面的“有图形”和“无图形”来描述不同形状的物体。 图1-1 草绘截面图1-2 拉伸的实体 3. 退出草图绘制,单击拉伸命令,其参数的设置如图1-2所示。单击对勾,完成的拉伸实体如图1-2所示。 ●知识点:八叉树。拉伸厚度是以八叉树的形式存储数据的。八叉树用以描述三维物体,它设想将空间通过三坐标平面XY、XZ、ZX划分为八个子空间。八叉树中的每一个节点对应着每一个子空间。 图1-3 拉伸草图图1-4 拉伸实体

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子系统设计与实践课程设计——多点温度测量(汇编语言+C语言版)

《电子系统设计与实践》 课程设计报告 课程设计题目:多点温度测量系统设计专业班级:2012级电子信息科学与技术 学生姓名:罗滨志(120802010051) 张倩(120802010020) 冯礼哲(120802010001) 吴道林(120802010006) 朱栖安(120802010039)指导老师:刘万松老师 成绩: 2015 年6 月27日 目录

摘要 (4) 1 总体设计 (4) 1.1 功能要求 (5) 1.2 总体方案及工作原理 (5) 2 系统硬件设计 (6) 2.1 器件选择 (6) 2.1.1主要器件的型号 (6) 2.1.2 AT89C51 (7) 2.1.3智能温度传感器DS18B20 (9) 2.1.4晶振电路方案 (9) 2.1.5 LED液晶显示器 (10) 2.1.6复位电路方案 (10) 2.2 硬件原理图 (11) 3 系统软件设计 (11) 3.1基本原理 (11)

3.1.1主程序 (11) 3.1.2读ROM地址程序 (12) 3.1.3显示ROM地址程序 (13) 3.1.4读选中DS18B20温度的程序 (13) 3.1.5显示温度程序 (14) 3.2软件清单 (15) 3.2.1汇编语言程序 (15) 3.2.2 C语言程序 (24) 4实验步骤 (29) 4.1汇编语言程序调试 (29) 4.2 C语言程序调试 (30) 4.3实验仿真 (31) 5设计总结 (32) 6参考文献: (33)

摘要 温度是我们生活中非常重要的物理量。随着科学技术的不断进步与发展,温度测量在工业控制、电子测温计、医疗仪器,家用电器等各种控制系统中广泛应用。温度测量通常可以使用两种方式来实现:一种是用热敏电阻之类的器件,由于感温效应,热敏电阻的阻值能够随温度发生变化,当热敏电阻接入电路时,则流过它的电流或其两端的电压就会随温度发生相应的变化,再将随温度变化的电压或者电流采集过来,进行A/D转换后,发送到单片机进行数据处理,通过显示电路,就可以将被测温度显示出来。这种设计需要用到A/D转换电路,其测温电路比较麻烦。第二种方法是用温度传感器芯片,温度传感器芯片能把温度信号转换成数字信号,直接发送给单片机,转换后通过显示电路显示即可。这种方法电路比较简单,设计方便,现在使用非常广泛。 关键词:多点温度测量单片机温度传感器 1 总体设计 多路温度测量系统的总体结构如图1所示,根据要求,整个系统包含以下几个部分:51单片机、时钟电路、复位电路组成的51单片机小系统;多块测温模块;显示温度值的显示模块和按键模块。测温模块由温度传感器组成,温度传感器采用美国Dallas半导体公司推出的智能温度传感器DS18B20,温度测量范围为-55 -- +125,可编程为9到12位的A/D转换精度,测温分辨率可达0.0625C,完全能够满足系统要求。DS18B20采用单总线结构,只需要一根数据线DQ即可与单片机通信,多个DS18B20可同时连接在一根数据线上与单片机通信。显示器可采用LCD液晶显示器,显示信息量大、效果好、使用方便。

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

电子系统设计实习报告模板

实习报告 ——电子系统设计 学号:0706110408 班级:电信07-4 姓名:李华君

一.设计内容 基本任务: 1、用一位数码管(DS1)显示自己的学号,大约1秒钟显示1位数字 2、流水灯(循环点亮8个LED)\ 3、通过串口将自己的班级,学号,姓名发送至电脑,用串口调试助手显示。 扩展任务(做完基本任务后,有余力的同学选作,评定成绩加分): 任务一 在ds1302中写入当前时间,然后每个2秒钟通过max232送入计算机显示(年月日时分秒),送出20个时间信息后,蜂鸣器响一声。 任务二 在AT24C02中写入自己的姓名(拼音),学号,并通过串口在电脑显示输出。 任务三 通过ds18b20读入当前温度值,送入数码管显示,显示用三位(DS1,DS2,DS3显示,DS4不焊接),显示温度范围0-99摄氏度,精度0.5摄氏度。 任务四 通过ds18b20读入当前温度值,送入串口显示 二.系统程序代码 1、流水灯: #include #include void delay(unsigned int); unsigned char a; void main() { a=0xfe; P1=a; while(1) { a=_crol_(a,1); delay(500); P1=a; } } void delay(unsigned int z) { unsigned int x,y; for(x=100;x>0;x--) for(y=z;y>0;y--); }

2、数码管: #include sbit dula=P2^7; unsigned char ss,t; unsigned char code table[]={0x3f,0x07,0x3f,0x7d,0x06,0x06,0x3f,0x66,0x3f,0x7f}; void delay(unsigned int); void main() { /*t=0; TMOD=0x01; TH0=(65536-50000)/256; TL0=(65536-50000)%256; EA=1; ET0=1; TR0=1;*/ while(1) { /*if(t==20)*/ for(ss=0;ss<10;ss++) { /*t=0; if(ss==10) ss=0;*/ dula=1; P0=table[ss]; dula=0; delay(500); /*ss++;*/ } } } void delay(unsigned int z) { unsigned int x,y; for(x=z;x>0;x--) for(y=100;y>0;y--); } /*void timer0() interrupt 1 { TH0=(65536-50000)/256; TL0=(65536-50000)%256; t++; }*/ 3、串口: #include

电路大作业 计算机辅助设计

上海电力学院 本科课程设计 电路计算机辅助设计 (1) 院系:电气工程学院 专业年级(班级): 2012021 学生姓名:邓学号: 指导教师:向国芬 成绩:

仿真实验一:电阻电路辅助分析(回路电流法)一.实验目的 1.学习以及熟练电路仿真软件的使用; 2.学会运用回路电流法分析电路; 3.掌握功率的测量与计算方法; 4.学会用仿真软件来验证定理的可行性。 二.实验原理 例题:用回路电流法求解下图(1)所示电路中的电压u,。 4Ω 图(1) 4Ω 图(2)

理论分析: 1. 电路中有一个无伴电流源支路 2. 电路中有一个受控电流源,且可先将其看作独立电流源处理; 3. 电路中有3个网孔,数量较少 综上,此题用回路电流法,选取回路电流2l I 通过该无伴电流源,另选取两条回路电流1l I 和3l I ,如图(2)所示。 列出回路电流方程: 3l I 1l I 2l I 1l I 2l I 2l I 3 l I 解得 1l I 3 l I 1l I (3l I 1l I ) =9.92W (发出功率) 三. 仿真实验设计与测试 设计下图所示的仿真电路:

测量仿真电路中的电流电压和功率,看是否与计算值相同: 观察仿真电路数据,可见仿真得1l I =0.8A,3l I =3.6A,u=8V,P=9.92W 与理论值相符。 四.实验结论与回路电流法使用时的注意事项 回路电流法是以回路电流作为未知量,根据KVL 列出必要的回路电压方程,联立求解回路电流。本实验证明了回路电流法的正确性。 在运用回路电流法时需注意: 1. 回路电流法适用于回路数较少的电路; 2. 受控电流源可看成独立源列方程; 3. 当电路中含有无伴电流源时,让其自身构成一个独立回路; 4. 方程的数目要与未知数相同。 特别的在判断发出还是吸收功率是要把计算值和参考方向是否关联同时考虑进去。本题中计算值为正,但是看电路图可知电流与电压为非关联方向,因此得出结论为发出功率。

机电一体化系统设计大作业

6011机电一体化系统设计基础大作业 责任教师高秋红 学校:北京一轻高级技术学校学号:111100140 姓名: 一、基础知识题(每题10分,共40分) 1.机电一体化系统的定义?机电一体化产品的分类? 答:机电一体化系统是由机械技术、传感器技术、接口技术、信号变换技术等多种技术进行有机地结合,并综合应用到实际中去的综合技术。 按发展水平分:功能附加型初级系统,功能代替型中级系统,机电融合型高级系统 按应用分:民用机电一体化产品,办公机电一体化产品和产业机电一体化产品 2. 机电一体化系统的基本组成要素?试分析试说明图中的各个部分分别属于机电一体化系统的哪一基本结构要素。 答:按照机电一体化系统的基本结构要素,图示数控机床的各个部分归类如下:(1)控制及信息处理单元:键盘、计算机、显示 (2)测试传感部分:光电编码器、信号处理 (3)能源:电源 (4)驱动部分:功放、电机 (5)执行机构:联轴器、齿轮减速器、丝杠螺母机构、工作台

3. 为什么采用机电一体化技术可以提高系统的精度? 机电一体化技术使机械传动部分减少,因而使机械磨损,配合间隙及受力变形等所引起的误差大大减少,同时由于采用电子技术实现自动检测,控制,补偿和校正因各种干扰因素造成的误差,从而提高精度。 4.简述机电一体化产品设计的工程路线(主要步骤) 机电一体化产品设计的工程路线(主要步骤):拟定产品开发目标和技术规范;收集资料,市场分析,可行性分析和技术经济性分析;总体方案设计;总体方案的评审和评价;详细设计;详细设计方案的评审和评价;试制样机;样机实验,测试;技术评价与审定;小批量生产;试销;正常生产;销售。 二、综合分析设计题(60分) 1.已知电机驱动的三自由度位置控制系统,单个自由度的驱动系统如图所示。要求: (1)说明单自由度驱动系统的两种测量方案;(20分) 要求给出传感器的类型及具体安装位置。 (2)确定整个系统的控制方案、画出控制系统原理图。(40分) 要求写出两种控制方案,方案一使用工业PC机完成系统的控制和方案二使用单片机完成系统的控制。 解:依题意有两种测量方案 1)高速端测量方案: 传感器安装在电机的尾部,通过测量电机的转角实现工作台位移的间接测量。可选用光电编码器式传感器或者磁电式编码器。

电子系统设计温度控制系统实验报告

电子系统设计实验报告温度控制系统的设计 姓名:杨婷 班级:信息21 学校:西安交通大学

一、问题重述 本次试验采用电桥电路、仪表放大器、AD转化器、单片机、控制通断继电器和烧水杯,实现了温度控制系统的控制,达到的设计要求。 设计制作要求如下: 1、要求能够测量的温度范围是环境温度到100o C。 2、以数字温度表为准,要求测量的温度偏差最大为±1o C。 3、能够对水杯中水温进行控制,控制的温度偏差最大为±2o C,即温度波 动不得超过2o C,测量的精度要高于控制的精度。 4、控制对象为400W的电热杯。 5、执行器件为继电器,通过继电器的通断来进行温度的控制。 6、测温元件为铂热电阻Pt100传感器。 7、设计电路以及使用单片机学习板编程实现这些要求,并能通过键盘置入预期温度,通过LCD显示出当前温度。 二、方案论证 1、关于R/V转化的方案选择 方案一是采用单恒流源或镜像恒流源方式,但是由于恒流源的电路较复杂,且受电路电阻影响较大,使输出电压不稳定。 方案二是采用电桥方式,由电阻变化引起电桥电压差的变化,电路结构简单,且易实现。 2、关于放大器的方案选择 方案一是采用减法器电路,但是会导致放大器的输入电阻对电桥有影响,不利于电路的调节。 方案二是采用仪表放大器电路,由于仪表放大器内部的对称,使电路影响较小,调整放大倍数使温度从0到100度,对应的电压为0-5V。 三、电路的设计 1、电桥电路 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。本次实验中:R1=R2=10KΩ,R3为500Ω的变阻器。

计算机辅助设计大作业DOC

2015-16学年二学期研究生课程考核 (读书报告、研究报告) 考核科目:计算机辅助设计与制造 学生所在院(系):机电工程学院 学生所在学科:机械制造及其自动化 姓名:王永明 学号:1502210051 题目:应用三维建模软件构建一个零件模型,描述建模过程。请结合该模型涉及到的课程学习知识(如模型表示方法、数据结构、显示等),针对该零件的具体情况进行论述。对所论述技术的发展趋势做出讨论。

题目: 1.应用三维建模软件构建一个零件模型,描述建模过程。请结合该模型涉及到 的课程学习知识(如模型表示方法、数据结构、显示等),针对该零件的具体情况进行论述。对所论述技术的发展趋势做出讨论。 2.为什么要使用数据库?数据库的基本原理是什么?尝试用office的组件Access数据库建立一个数据库,结合你的设计过程论述数据库的设计过程。

在UG中建立一个三维模型如下图所示 效果预览: 建模步骤: 第一步、绘制正八边形,内接圆半径为50,如下图所示。 第二步、建立一条起点在原点,长度为30,沿着Z轴的直线,见下图。

第三步、以八边形的两个端点及上步建立直线的顶点为中点建立下图圆弧。 第四步、对圆弧进行修剪,留下四分之一圆弧,见下图。 第五步、运用变换旋转-45°建立同样的圆弧,如下图所示。

第六步、运用曲线组命令建立伞布的曲面,如下图所示。 第七步、将WCS原点移到下图位置,并绘制半径为80的小圆弧。 第八步、以上步建立的曲线为截面进行对称拉伸,拉伸距离为3,见下图。

第九步、运用修剪体命令对伞布进行修剪,效果如下图所示。 第十步、对伞布曲面进行加厚处理,如下图所示。 第十一步、对伞布的边圆弧曲线进行偏置,距离为0.1,见下图。

电子系统设计总结报告

电子系统设计总结报告 题目:对讲机 班级:电气 组别:第二组 指导教师: 设计时间:

对讲机 一、引言 1、选题意义 有线对讲机在日常生活中应用广泛。有线对讲机原理简单,设计方便,制作简易,成本低,对于初次进行实验设计的我们来说实验成功率高。而且,有线对讲机广泛应用于医院病员呼叫机、门铃、室内电话等,具有应用范围广,实用性强的特点,所以有线对讲机日益成为生活中不可缺少的部分。为了本次实验的顺利成功,我们首先去了解它的原理过程以及如何正确的去操作它,这样既可以在很大程度上提高我们对知识的掌握与应用,又可以提高我们的动手能力,增强我们对动手实验的兴趣。本次试验,目的既在于提高动手能力,结合理论知识与实际操作于一体,最终设计并制作出具有实用性的产品,又在于磨练个人意志,增强个人耐心,培养团队意识。在产品制作过程中,组内相互分工,互帮互助,协调一致,共同完成此次实验。通过本次实验,大家对于模拟电子技术和数字电子技术会有更好的理解与掌握,也教会大家在遇到问题时如何思考,如何发现问题、解决问题,这些对于今后的学习与研究都是有相当大的帮助的。 2、设计目标 这次实验,我们小组由产品功能出发,设计实验电路图,计算各电子元器件的值,再进行元器件调研来对不同元器件进行比较,最终选择出价格合理,性能完善并且适用于所设计的电路图的元件,再依据所设计的电路图,进行正确焊接与调试,最终得到在50米内,能进行清晰对讲的“半双工对讲机”,即在同一时刻,一方讲话,另一方在距离其50米处可以清晰听到其所讲内容,通过调节转换开关,来进行听与说的角色的相互转换。

3、小组成员分工 二、作品说明 1、功能 对讲机可用于室内电话、医院病员呼叫机、门铃等,可用YUHIHHIH米内进行对讲。本次实验制作成的对讲机为“半双工式对讲机”,即在相同时刻,主机与从机之间只有一个可以讲,而在此时刻,另一个只能听,通过一个双刀双掷开关控制讲话与听话的相互转换。 2、操作说明 操作时,按下电源开关,将控制转换的双刀双掷开关打到一侧,可以完成主机讲话,从机收听主机发送的声音信号;将控制开关打到另一侧,则可以完成从机讲话,主机接收由从机发送的声音信号。通过双刀双掷开关的转换完成主机与从机之间的交流与信息转换。当长时间不使用时,可将控制电源的开关关闭,这样可以节约电能,避免不必要的浪费。

相关文档
相关文档 最新文档