文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑实验三

数字逻辑实验三

数字逻辑实验三
数字逻辑实验三

实验三触发器、移位寄存器实验

一、实验目的

1、掌握基本RS触发器、D触发器、JK触发器的工作原理。

2、学会正确使用RS触发器、D触发器、JK触发器。

3、熟悉移位寄存器的电路结构及工作原理。

4、掌握中规模集成移位寄存器74LS194的逻辑功能及使用方法。

5、掌握用双D触发器74LS74和双JK触发器74LS73来搭建时序电路。

二、实验所用器件和仪表

1、与非门74LS00 1片

2、双D触发器74LS74 1片

3、双JK触发器74LS73 1片

4、四位双向通用移位寄存器74LS194 1片

5、万用表

6、示波器

7、实验箱

三、实验内容(7个实验中可以任意选做其中的4个即可)

1、设计基本RS触发器并验证其功能。

2、验证D触发器功能。

3、验证JK触发器功能。

4、验证双向移位寄存器74LS194的逻辑功能。

6、用双D触发器74LS74和双JK触发器74LS73来搭建时序电路。

四、实验接线图和测试步骤

1、实验内容1的接线图和测试步骤(每个芯片的电源和地端要连接)

右图是基本RS触发器接线图。图中,K1、K2是

电平开关输出,LED0、LED1是电平指示灯。基本SR

触发器的测试步骤及结果如下:

(1)R = 0,S = 1,测得Q = ,Q = 。

(2)R = 1,S = 1,测得Q = ,Q = 。

(3)R = 1,S = 0,测得Q = ,Q = 。

(4)R = 1,S = 1,测得Q = ,Q = 。

根据触发器的定义,Q和Q应互补,因此R = 0,S = 0是非法状态。SR触发器真值表如下:输入输出

R S Q Q

0 0 1 1

0 1 1 0

1 0 0 1

1 1 Q Q

2、实验内容2的的接线图、测试步骤(每个芯片的电源和地端要连接。)

注:PR=S D,CLR=R D

上图是测试D触发器的接线图,K1、K2、K3是电平开关输出,LED0、LED1是电平指示灯,AK1宽单脉冲,1MHz、10MHz是时钟脉冲。左图为单次脉冲的测试,右图为连续脉冲的测试。

测试步骤如下:

(1)CLR = 0,PR = 1,测得Q = ,Q = 。

(2)CLR = 1,PR = 1,测得Q = ,Q = 。

(3)CLR = 1,PR = 0,测得Q = ,Q = 。

(4)CLR = 1,PR = 1,测得Q = ,Q = 。

(5)CLR = 1,PR = 1,D = 1,CK接宽单脉冲,按按钮,测得Q = ,Q = 。

(6)CLR = 1,PR = 1,D = 0,CK接宽单脉冲,按按钮,测得Q = ,Q = 。

(7)CLR = 1,PR = 1,D接1MHz脉冲,CK接10MHz,在示波器上同时观测Q、CLK 的波形,观测到Q的波形只在CLK上升沿才发生变化。

输入输出

PR CLR CLK D Q Q

L H X X H L

H L X X L H

H H ↑H H L

H H ↑L L H

H H L X Q Q

3、实验内容3的的接线图、测试步骤(每个芯片的电源和地端要连接。输入来源于开

关,输出送到LED灯上,观察在不同的输入时LED灯的亮灭情况。AK1是实验箱下方的手动单脉冲输入端,选用宽脉冲连接,每次用手按一下黑色按钮后松开,就输入一个单脉冲到电路中)

上图是测试JK触发器的接线图。

K2、K3、K4是电平开关输出,LED0、LED1是电平指示灯,AK1是宽单脉冲。74LS73引脚4接+5V,引脚11接地。74LS73只有复位端CLR。(1)CLR = 0,测得Q = 1,Q = 0。

(2)CLR = 1,J = 0,K = 0,按宽单脉冲按钮AK1,测得Q = ,Q = 。

(3)CLR = 1,J = 1,L = 0,按宽单脉冲按钮AK1,测得Q = ,Q = 。

(4)CLR = 1,J = 0,K = 0,按宽单脉冲按钮AK1,测得Q = ,Q = 。

(5)CLR = 1,J = 0,K = 1,按宽单脉冲按钮AK1,测得Q = ,Q = 。

(6)CLR = 1,J = 0,K = 0,按宽单脉冲按钮AK1,测得Q = ,Q = 。

(7)CLR = 1,J = 1,K = 1,按宽单脉冲按钮AK1,测得Q = ,Q = ;再按宽单脉冲按钮AK1,测得Q = ,Q = 。

4、实验内容4的接线图(每个芯片的电源和地端要连接。输入来源于开关,输出送到

LED灯上,观察在不同的输入时LED灯的亮灭情况)

输入输出功能/CR M1 M0 CP D SL D SR D0 D1 D2 D3Q0 Q1 Q2 Q3

L

H

H

H

H

H

H

× ×

H H

L H

L H

H L

H L

L L

×

×

× ×

× ×

× H

× L

H ×

L ×

× ×

a b c d

L L L L

a b c d

H Q0 Q1Q2

L Q0 Q1Q2

Q1Q2 Q3 H

Q1Q2Q3 L

Q0 Q1Q2 Q3

清零

置数

右移

右移

左移

左移

保持

5、双D触发器74LS74构成的二进制计数器(分频器)(每个芯片的电源和

地端要连接。输入来源于开关,输出送到LED灯上,观察在不同的输入时LED灯的亮灭情况)

(1)按下图接线。

接电平指示灯

图D触发器74构成的二进制计数器

(2)将Q0、Q1、Q2、Q3复位。

(3)由时钟输入单脉冲,测试并记录Q0、Q1、Q2、Q3的状态。

(4)由时钟输入连续脉冲,观测Q0、Q1、Q2、Q3的波形。

图中,K1是电平开关输出,AK1是按单脉冲按钮AK1产生的单脉冲,LED0、LED1、LED2和LED3是电平指示灯。

(1)置K1为低电平,四个电平指示灯灭,表示Q3Q2Q1Q0为0000。

(2)置K1为高电平,按单脉冲按钮AK1,Q3Q2Q1Q0的值变化如下:

表74LS74构成的计数器状态转移表

Q3Q2Q1Q0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

1 1 0 0

1 1 0 1

1 1 1 0

1 1 1 1

0 0 0 0

(3)将接单脉冲AK1的线(CLK)改接10MHz连续脉冲,用示波器观测Q0、Q1、Q2、Q3。

画出连续计数时钟下Q0、Q1、Q2和Q3的波形图如下:

图二进制计数器波形图

(5)Q0、Q1、Q2、Q3也构成一个计数器,Q3是最高位,Q0是最低位。这是一个递减计数器。

6、异步十进制计数器

(1)按图8.2构成一个十进制计数器。

(2)将Q0、Q1、Q2、Q3复位。

(3)由时钟端CLK输入单脉冲,测试并记录Q0、Q1、Q2、Q3的状态。

(4)由时钟端CLK输入连续脉冲,观测Q0、Q1、Q2、Q3的波形。

图异步十进制计数器

图中,K1是电平开关输出,AK1是按单脉冲按钮AK1产生的单脉冲,LED0、LED1、LED2和LED3是电平指示灯。

(1)置K1为低电平,四个电平指示灯灭,表示Q3Q2Q1Q0为0000。

(2)置K1为高电平,按单脉冲按钮AK1,Q3Q2Q1Q0的值变化如下:

表异步十进制计数器状态转移表

Q3Q2Q1Q0

0 0 0 0

接电平指示灯

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

0 0 0 0

(3)将接单脉冲AK1的线(CLK)改接10MHz连续脉冲,用示波器观测Q0、Q1、Q2、Q3。

画出连续计数时钟下Q0、Q1、Q2和Q3的波形图如下:

图异步十进制计数器波形图

7、自循环寄存器

(1)用双D触发器74LS74构成一个四位自循环寄存器。方法是第一级的Q端接第二级的D端,依次类推,最后第四级的Q端接第一级的D端。四个D触发器的CLK端连接在一起,然后接单脉冲时钟。

(2)将触发器Q0置1,Q1、Q2、Q3清0。按单脉冲按钮,观察并记录Q0、Q1、Q2、Q3的值。

(1)接线图

图自循环计数器接线图

图中,K1、K2是电平开关输出,AK1是按单脉冲按钮AK1产生的单脉冲,LED0、LED1、LED2和LED3是电平指示灯。

(2)置K1为低电平,K2为高电平,四个电平指示灯灭,表示Q3Q2Q1Q0为0000。

(3)置K1为高电平,K2为低电平,LED0指示灯亮,表示Q3Q2Q1Q0为0001。

(4)置K1、K2为高电平。按单脉冲按钮AK1,Q3Q2Q1Q0的值变化如下:

表自计数器状态转移表

Q3Q2Q1Q0

0 0 0 1

0 0 1 0

0 1 0 0

1 0 0 0

0 0 0 1

(5)将接单脉冲AK1的线(CLK)改接10MHz连续脉冲,用示波器观测Q0、Q1、Q2、

Q3。画出连续计数时钟下Q0、Q1、Q2和Q3的波形图如下:

图自循环计数器波形图

实验提示

1.74LS73引脚11是GND,引脚4是Vcc。

2.D触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发。

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验三

实验三触发器、移位寄存器实验 一、实验目的 1、掌握基本RS触发器、D触发器、JK触发器的工作原理。 2、学会正确使用RS触发器、D触发器、JK触发器。 3、熟悉移位寄存器的电路结构及工作原理。 4、掌握中规模集成移位寄存器74LS194的逻辑功能及使用方法。 5、掌握用双D触发器74LS74和双JK触发器74LS73来搭建时序电路。 二、实验所用器件和仪表 1、与非门74LS00 1片 2、双D触发器74LS74 1片 3、双JK触发器74LS73 1片 4、四位双向通用移位寄存器74LS194 1片 5、万用表 6、示波器 7、实验箱 三、实验内容(7个实验中可以任意选做其中的4个即可) 1、设计基本RS触发器并验证其功能。 2、验证D触发器功能。 3、验证JK触发器功能。 4、验证双向移位寄存器74LS194的逻辑功能。 6、用双D触发器74LS74和双JK触发器74LS73来搭建时序电路。 四、实验接线图和测试步骤 1、实验内容1的接线图和测试步骤(每个芯片的电源和地端要连接) 右图是基本RS触发器接线图。图中,K1、K2是 电平开关输出,LED0、LED1是电平指示灯。基本SR 触发器的测试步骤及结果如下: (1)R = 0,S = 1,测得Q = ,Q = 。 (2)R = 1,S = 1,测得Q = ,Q = 。 (3)R = 1,S = 0,测得Q = ,Q = 。 (4)R = 1,S = 1,测得Q = ,Q = 。 根据触发器的定义,Q和Q应互补,因此R = 0,S = 0是非法状态。SR触发器真值表如下:输入输出 R S Q Q 0 0 1 1 0 1 1 0 1 0 0 1 1 1 Q Q 2、实验内容2的的接线图、测试步骤(每个芯片的电源和地端要连接。)

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字逻辑实验三 实验四

实验报告课程名称电子技术综合设计与实践 题目名称实验三、实验四 学生学院自动化学院 专业班级物联网工程 学号 学生姓名 指导教师 2016年 6 月 26 日 一、实验目的 1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。 2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 二、功能描述及分析 实验三: (1)分别用两个四位二进制数表示两个十进制数,如:用A3 A2 A1A0表示被加数,用B3B2B1B0表示加数,用S3 S2 S1 S0表示“和”,用C0表示进位。 (2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D3D2D1D0=0110; 当S<9时,修正值为D3D2D1D0=0000。

(3)由真值表,我们可以得出D3=D0=0,D2=D1=FC4 + S4(S3+S2) 实验四: (1)分别用四位二进制数来表示十进制数,触发器状态用DCBA表示,10个技术状态中的初值状态为0001。 (2)列出状态表,如下 (3)得出次态方程: D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D (4)选用D触发器来实现,求触发器激励函数 D4=BC, D3=B⊕C, D2=A D, D1=A⊕D (5)画出逻辑电路图如下: (6)四个触发器输出端一次输入到7447数码管译码器输入端。 三、实验器材 实验三: (1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED 显示灯。 (2)DE2开发板和QuartusⅡ7.2软件

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

华中科技大学数字逻辑实验

数字逻辑实验报告(1)数字逻辑实验1 一、系列二进制加法器 设计50% 二、小型实验室门禁系 统设计50% 总成绩 姓名: 学号: 班级: 评语:(包含:预习报告内容、实验过程、实验结果及分析)

指导教师: 计算机科学与技术学院 20 年月日 数字逻辑实验报告系列二进制加法器设计预习报告

一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,

电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器 利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九 个输入A 3、A 2 、A 1 、A 、B 3 、B 2 、B 1 、B 和C ,五个输出S 3 、S 2 、S 1 、S 和C 4 。输入 A= A 3A 2 A 1 A 、B= B 3 B 2 B 1 B 和C 分别为被加数、加数和来自低位的进位,输出S= S 3 S 2 S 1 S 和C o 为本位和和向高位的进位。 (5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性 将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。 图1-1“私有”的先行进位的四位二进制并行加法器 5、实验方案设计 (1)一位二进制半加器的设计方案

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

华中科技大学数字逻辑实验

数字逻辑实验报告(1) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

《数字电路与逻辑设计》实验报告 数字逻辑实验报告系列二进制加法器设计预习报告

《数字电路与逻辑设计》实验报告 一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体内容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字逻辑实验报告

计算机专业类课程 实 验 报 告 课程名称:数字逻辑 学院:计算机科学与工程学院专业:计算机科学与技术 学生姓名: 学号: 指导教师: 评分: 日期:2014年6月2日 电子科技大学计算机学院实验中心

电 子 科 技 大 学 实 验 报 告 实验一:基本门电路的功能和特性实验 实验时间: 2014.4.20 一、实验目的 I.了解集成电路的外引线排列及其使用方法 II. 掌握常用集成门电路的逻辑功能与特性 III.学习组合逻辑电路的设计及测试方法 IV.了解集成电路外引线的方式方法。 V.了解测试电路的基本方法 VI. 掌握常用集成门电路的逻辑功能与特性 实验内容 部分TTL 门电路逻辑功能验证包括: ● 二输入四与非门7400 ● 二输入四或门7432 ● 二输入四异或门7486 ● 6反相器7404 二、实验原理 1)逻辑代数系统满足的5条公理 ● 交换律:A B B A +=+ A B B A ?=? ● 结合律:)()(C B A C B A ++=++ )()(C B A C B A ??=?? ● 分配律:C A B A C B A ?+?=+?)( )()(C A B A C B A +?+=?+ ● 0-1律:A A =+0 11=+A A A =?1 00=?A ● 互补律:1=+A A 0=?A A 此外,还满足摩根定律:B A B A +=? B A B A ?=+ 2)实验涉及门电路的引脚图如图1.1所示

电子科技大学计算机学院实验中心 图1.1 3) 组合逻辑电路的分析思路: 4) 组合逻辑电路设计思路: 如果掌握了以上两种分析方法后,再对我们的需求进行分析,即可对一般电路进行分析、设计,从而可以正确的使用被分析的电路一级设计出能满足逻辑功能和技术指标要求的电路了! 写函数关系式 对函数式进行化简或变换; 根据最简式列真值表 判断逻辑功能 根据给定事件的因果关系列出真值表 由真值表写函数式 对函数式进行化简或变换 画出逻辑图,并测试逻辑功能

数字逻辑实验指导

《数字逻辑》实验指导 福建工程学院

电子技术实验室实验守则 一、实验课前:每个学生必须认真预习实验指导书和与本实验有关的教材内容,写出实验预习报告。明确实验目的和实验原理,了解实验内容与步骤,掌握仪器、仪表的使用方法,作好实验准备工作。 二、上实验课:学生必须认真听讲,接好线路后,需经指导教师复查批准,才准接通电源。 三、实验时,每个学生都应严肃认真,勤于动手、独立思考、细心操作,注意观察、如实作好记录。教师根据每个学生的实验技能,动手能力评定平时成绩。 四、实验过程中,如发现仪器设备有冒烟、焦味、异响、漏电等异常现象,应立即切断电源,保持现场,请指导教师检查处理。 五、实验完成后,需请指导教师检查预习报告和实验数据以及所使用的仪器设备,经教师检查签字后方可离开实验室。 六、学生因请假而需要补做实验者,应本人申请,经指导教师同意,并安排好时间补做。 七、每个学生必须爱护实验室的仪器设备,使用前,若发现故障及时请指导教师检查。与本实验无关的仪器设备不准动用,凡不听教师讲解,进行错误操作以致损坏设备者,按赔偿条例酌情处理。 八、实验室是教学场所,应保持整洁,安静,不得喧哗打闹,不准吸烟,不准随地吐痰,不准乱抛纸屑,不准在实验室内吃东西,不准在仪器设备上或桌面上涂写,穿拖鞋者一律不准进入实验室。 九、对违反上述规则又不听劝阻者,教师有权令其退出实验室

实验一门电路参数测试 一、实验目的 1. 了解 TTL 与非门电路的主要参数。 2. 掌握 TTL 与非门电路的主要参数和传输特性的测试方。 3. 熟悉 TTL 门电路的逻辑功能的测试方法。 二、实验器材 1、数字逻辑实验箱 2、万用表 3、74LS00芯片 三、实验原理 本实验采用四二输入“与 非门”74LS00,其引脚排列如 右图所示,它共有四组独立的 “与非”门,每组有两个输入 端,一个输出端。四与非门 74LS00 的主要参数有: 1.扇出系数NO:电路正常工作时能带动的同类门的数目称为扇出系数NO 。 2.输出高电平VOH:一般VOH≥2.4V. 3.输出低电平VOL:一般VOL≤0.4V. 4.高电平输入电流IIH:指当一个输入端接高电平,而其它输入端接地时从电源流过高电平输入端的电流。 5.低电平输入电流IIL(或输入短路电流IRD):指当一个输入端接地,而其它输入端悬空时低电平输入端流向地的电流。 6.电压传输特性曲线和关门电平VOFF: 下图所表示的V ~VO关系 曲线称为电压传输特性曲线。使 输出电压刚刚达到低电平时的最 低输入电压称为开门电平VON 。 使输出电压刚刚达到规定高电平 时的最高输入电压称为关门电平 VOFF。 7.噪声容限:电路能够保持 正确的逻辑关系所允许的最大抗 干扰电压值,称为噪声电压容限。 输入低电平时的噪声容限为VOFF- VIL ,输入高电平时的噪声容

相关文档