文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA交通信号灯控制器的设计与实现毕业设计

基于FPGA交通信号灯控制器的设计与实现毕业设计

基于FPGA交通信号灯控制器的设计与实现毕业设计
基于FPGA交通信号灯控制器的设计与实现毕业设计

题目 基于FPGA 的交通灯控制器的设计与实现

专业 电子信息 班级 1 学号 12 姓名 张扬 主要内容、基本要求、主要参考资料等:

模拟十字路口交通信号灯的工作过程,利用交通灯模块上的两组红、黄、绿LED 发光二极管作为交通信号灯,设计一个交通信号灯控制器。

设计说明:有两条公路,一条是交通主干道,另一条是支干道。在主干道和支干道的交叉路口上,设置了红、黄、绿灯,进行交通管理,如图1所示。

支干道

主干道

图 1 路口交通管理示意图

基本要求如下:

① 交通灯从绿变红时,有4s 黄灯亮的间隔时间;

② 交通灯从红变绿是直接进行的,没有间隔时间;

③ 主干道的绿灯时间为20s ,支干道的绿灯时间为10s ;

④ 在任意时间,显示每个状态开始到结束所需要的时间。由此可以得

出交通信号灯A ,B ,C ,D 的4种状态,如表1所示。

表 1 交通信号灯的4种状态 交通信号灯 A B C D

主干道的交通灯 绿(20s ) 黄(4s ) 红(10s ) 红(4s )

支干道的交通灯红红绿黄

主要参考资料:

【1】张洪润.FPGA/CPLD应用设计200例(上册)[M].北京:北京航空航天大学出版社,2009.

【2】潘松.EDA技术实用教程(第三版)[M].北京:科学出版社,2006. 完成期限:2009年11月到2010年6月

指导教师签章:

专业负责人签章:

2009年11月6日

诚信承诺

本人__________声明,本论文及其研究工作是由本人在导师指导下独立完成,论文所利用的一切资料均符合论文著作要求,且在参考文献中列出。

作者签字:

年月日

摘要

近年来,随着社会上特别是城市中机动车保有量的不断增加,在现代城市的日常运行控制中,车辆的交通控制越来越重要。在十字交叉路口,越来越多的使用红绿灯进行

交通指挥和管理[1]。

本课题以FPGA硬件描述语言为设计手段,完成了交通信号灯控制电路的开发,其中交通信号灯控制电路的开发目的是设计一个适用于主、支干道十字交叉路口的红黄绿交通灯的控制系统,通过合理设计系统功能,使红黄绿的转换有一个准确的时间间隔和转换顺序,当然这就需要一个自动和安全的系统对红、黄、绿灯的转换进行控制。

本课题所设计的交通信号灯控制电路经过在QuartusII软件下进行模拟仿真,观察其波形,并通过将程序下载到目标FPGA器件,进行硬件的调试验证,证明所设计的交通信号灯控制电路完全可以实现预定的功能,具有一定的实用性。

关键词:控制电路;信号灯;FPGA;软件设计;QuartusII

ABSTRACT

In recent years, with the increase of Vehicle inventory in society especially in city,the

traffic control of the vehicle become more and more important with the development of society.In cross four corners,more and more traffic lights are used to direct and manage the traffic.

This subject take FPGA hardware description language as design method and it completed the street-traffic control lights control circuit's development,and the main goal of the development of the street-traffic control lights control circuit is to design a control system,which can be used in both main road and second road's cross four corners.Through reasonable design the system function ,Enables the red olivine the transformation to have an accurate time-gap and the transformation order.Certainly this needs one automatic and the safe system to red, yellow, green light's transformation carries on the control.

This paper which designs the traffic-lights'c ontrol circuit is Analog simulated by the QuartusII Software , observate the profile,and then download the procedure to the FPGA component.To prove the designs of the traffic-lights' control circuit can realize the predetermined function,what's more,this design may also be certain usability through the hardware debugging confirmmation.

Keywords:Control circuit;Signal light;FPGA;Software design;QuartusII

目录

1 课题研究背景及意义............................................................................................... - 1 -

1.1 课题的发展进程..................................................................................................... - 1 -

1.2 课题的选题背景..................................................................................................... - 1 -

1.2.1 课题相关技术的发展.................................................................................. - 1 -

1.2.2 课题研究的必要性...................................................................................... - 2 -

1.3 本章小结................................................................................................................. - 3 -

2 FPGA简介 ..................................................................................................................... - 4 -

2.1 FPGA概述................................................................................................................ - 4 -

2.2 FPGA基本结构........................................................................................................ - 4 -

2.3 FPGA系统设计流程................................................................................................ - 6 -

2.4 FPGA开发编程原理................................................................................................ - 7 -

2.5 本章小结................................................................................................................. - 8 -

3 基于FPGA的交通灯控制器的设计 ..................................................................... - 9 -

3.1 设计思路和原理框图............................................................................................. - 9 -

3.2 各主要进程模块的说明....................................................................................... - 10 -

3.2.1 分频器模块................................................................................................ - 10 -

3.2.2 LED七段数码管显示模块......................................................................... - 11 -

3.2.3 计数器模块................................................................................................ - 13 -

3.3 整体程序的仿真................................................................................................... - 15 -

3.4本章小结................................................................................................................ - 16 -

4 系统硬件测试........................................................................................................... - 17 -

4.1 Cyclone系列FPGA介绍...................................................................................... - 17 -

4.2 引脚分配[7]......................................................................................................... - 17 -

4.3 硬件测试结果....................................................................................................... - 18 -结论................................................................................................................................ - 18 -参考文献 ........................................................................................................................... - 20 -附录..................................................................................................................................... - 21 -

附录1 中文文献.......................................................................................................... - 21 -附录2 英文翻译.......................................................................................................... - 28 -附录3 参考程序.......................................................................................................... - 38 -

致谢................................................................................................................................ - 44 -

1 课题研究背景及意义

1.1 课题的发展进程

随着社会经济的发展,交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代交通监控指挥系统中最重要的组成部分[1] 。

今天,红绿灯安装在各个道路口上,已成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就出现了。

1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师那伊特在伦敦威斯敏思特区议会大厦的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。

1914年,电气启动的红绿灯出现在美国。这种红绿灯由红绿黄三色圆形的投光器组成,安装在纽约市5号大街的高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。

1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近,红灯变为绿灯;另一种是用扩音器来启动红绿灯,司机遇到红灯时按一下喇叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束就能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。

信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义做了规定。绿灯时通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法的正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁止信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口[2] 。

1.2 课题的选题背景

1.2.1 课题相关技术的发展

当今电子产品正向功能多元化,体积最小化,功耗最低化的方向发展。它与传统的电子产品在设计上的显著区别师大量使用大规模可编程逻辑器件,使产品的性能提高,体积缩小,功耗降低.同时广泛运用现代计算机技术,提高产品的自动化程度和竞争力,缩短研发周期。EDA技术正是为了适应现代电子技术的要求,吸收众多学科最新科技成果而形成的一门新技术。

美国ALTERA公司的可编程逻辑器件采用全新的结构和先进的技术,加上MaxplusII(或最新的QUARTUS)开发环境,更具有高性能,开发周期短等特点,十分方便进行电子产品的开发和设计。

EDA技术,技术以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译,逻辑化简,逻辑分割,逻辑映射,编程下载等工作。最终形成集成电子系统或专用集成芯片的一门新技术。

本设计利用VHDL硬件描述语言结合可编程逻辑器件进行的,并通过数码管动态显示计时结果。交通信号灯可以由各种技术实现,如单片机的汇编语言,PLC.利用可编程逻辑器件具有其他方式没有的特点,它具有易学,方便,新颖,有趣,直观,设计与实验项目成功率高,理论与实践结合紧密,体积小,容量大,I/O口丰富,易编程和加密等特点,并且它还具有开放的界面,丰富的设计库,模块化的工具以及LPM定制等优良性能,应用非常方便。因此,本设计采用可编程逻辑器件实现。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性,VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不同层次的设计;支持结构、数据流、行为三种描述形式的混合描述、覆盖面广、抽象能力强,因此在实际应用中越来越广泛。ASIC是专用的系统集成电路,是一种带有逻辑处理的加速处理器。而FPGA 是特殊的ASIC芯片,与其他的ASIC芯片相比,它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测等优点。

交通信号灯控制系统是一个典型的纯数字系统,传统的设计方法基于中、小规模集成电路进行,电路复杂、故障率高、可靠性低。利用EDA技术采用超大规模可编程

器件FPGA/CPLD实现,可降低设计成本,缩短设计周期,保证设计过程的正确性,为数字系统的设计带来了极大的灵活性。交通信号灯的设计给交通生活带来了极大的方便,而且大大地扩展了信号灯的各种显示功能。因此,研究交通信号灯控制器的设计,有着非常现实的意义。

1.2.2 课题研究的必要性

随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城

市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,笔者进行了深入的研究,利用FPGA 技术及由QuartusⅡ软件编写VHDL语言源程序,硬件测试时通过ALTERA公司的Cyclone 系列的EP1C12Q240C8芯片来实现,设计了一个交通灯系统,实现简单的交通灯的管理功能。以下就主、支干道交通灯控制器的电路原理、设计计算和实验调试等问题来进行具体分析讨论。

1.3 本章小结

本章节首先介绍了交通信号灯的发展史和各个阶段出现的交通灯的配置以及作用,详细叙述了课题的研究背景以及利用VHDL语言编写程序的优点所在。阐述了课题研究的必要性。经过课题要求开始构思设计的大致思路:是以交通信号灯控制器为研究背景,把EDA技术作为实现手段,以FPGA语言为软件编程语言,以及用QuartusⅡ应用软件作为工具,硬件测试时通过ALTERA公司的Cyclone系列的EP1C12Q240C8芯片来最终实现交通信号灯控制器的设计,以LED灯显示剩余时间,用发光二极管代表三种灯的状态。

2 FPGA简介

本章从FPGA概述、FPGA的基本结构、FPGA系统设计流程、以及FPGA开发编程原理四方面详细阐述了FPGA技术的相关特性。

2.1 FPGA概述

FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,与之相应的CPLD是复杂可编程逻辑器件(Complex Programmable Logic Device)的简称,两者的功能基本相同,只是实现原理略有不同,所以有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/PGFA。CPLD/PGFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路[2]。它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个数字系统。通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用CPLD/FPGA的在线修改功能,随时修改设计而不必改动硬件电路。使用CPLA/FPGA开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。这些优点使得CPLA/FPGA技术在20世纪90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言HDL的进步。

2.2 FPGA基本结构

FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设计。

FPGA一般由3种可编程电路和一个用于存放编程数据的静态存储器SRAM组成。这3种可编程电路是:可编程逻辑模块(CLB--Configurable Logic Block)、输入/输出模块(IOB--I/O Block)和互连资源(IR—Interconnect Resource)。可编程逻辑模块CLB 是实现逻辑功能的基本单元,它们通常规则的排列成一个阵列,散布于整个芯片;可编程输入/输出模块(IOB)主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各种长度的连接线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路[2] 。

1.CLB是FPGA的主要组成部分。图2-1是CLB基本结构框图,它主要由逻辑函数发生器、触发器、数据选择器等电路组成。CLB中3个逻辑函数发生器分别是G、F和H,相应的输出是G’、F’和H’。G有4个输入变量G1、G2、G3和G4;F也有4个输入变量F1、F2、F3和F4。这两个函数发生器是完全独立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生器H有3个输入信号;前两个是函数发生器的输出G’和F’,而另一个输入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入变量的各种组合函数。这3个函数发生器结合起来,可实现多达9变量的逻辑函数。

CLB中有许多不同规格的数据选择器(四选一、二选一等),通过对CLB内部数据选择器的编程,逻辑函数发生器G、F和H的输出可以连接到CLB输出端X或Y,并用来选择触

发器的激励输入信号、时钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控制信号均由编程信息提供,从而实现所需的电路结构。

CLB 中的逻辑函数发生器F 和G 均为查找表结构,其工作原理类似于ROM 。F 和G 的输入等效于ROM 的地址码,通过查找ROM 中的地址表可以得到相应的组合逻辑函数输出。另一方面,逻辑函数发生器F 和G 还可以作为器件内高速RAM 或小的可读写存储器使用,它由信号变换电路控制。

2.输入/输出模块IOB 。IOB 提供了器件引脚和内部逻辑阵列之间的连接。它主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成。

每个IOB 控制一个引脚,它们可被配置为输入、输出或双向I/O 功能。当IOB 控制的

引脚被定义为输入时,通过该引脚的输入信号先送入输入缓冲器。缓冲器的输出分成两路:一路可以直接送到MUX ,另一路经延时几纳秒(或者不延时)送到输入通路D 触发器,再送到数据选择器。通过编程给数据选择器不同的控制信息,确定送至CLB 阵列的I1和I2是来自输入缓冲器,还是来自触发器。

图2.1 CLB 基本结构

当IOB 控制的引脚被定义为输出时,CLB 阵列的输出信号OUT 也可以有两条传输途径:一条是直接经MUX 送至输出缓冲器,另一条是先存入输出通路D 触发器,再送至输出缓冲器。

IOB 输出端配有两只MOS 管,它们的栅极均可编程,使MOS

管导通或截止,分别经上

CL B CL B CL B CL B

CLB CL B CL B CL B

CL B CL B CL B CL B

CLB CL B CL B

CL B

输入输

拉电阻接通Vcc、地线或者不接通,用以改善输出波形和负载能力。

3.可编程互连资源IR。可编程互连资源IR可以将FPGA内部的CLB和CLB之间、CLB和IOB之间连接起来,构成各种具有复杂功能的系统。IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。

2.3 FPGA系统设计流程

一般说来,一个比较大的完整的项目应该采用层次化的描述方法:分为几个较大的模块,定义好各功能模块之间的接口,然后各个模块再细分去具体实现,这就是TOP DOWN (自顶向下)的设计方法。目前这种高层次的设计方法已被广泛采用[3] 。高层次设计只是定义系统的行为特征,可以不涉及实现工艺,因此还可以在厂家综合库的支持下,利用综合优化工具将高层次描述转换成针对某种工艺优化的网络表,使工艺转化变得轻而易举。CPLD/FPGA系统设计的工作流程如图2.2所示。

流程说明:

1.工程师按照“自顶向下”的设计方法进行系统划分。

2.输入VHDL代码,这是设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。

3.将以上的设计输入编译成标准的VHDL文件。

4.进行代码级的功能仿真,主要是检验系统功能设计的正确性。这一步骤适用于大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。

5.利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网络表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库的支持下才能完成。

6.利用产生的网络表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,是较为粗略的。一般的设计,也可略去这一步骤。

7.利用适配器将综合后的网络表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

8.在适配完成后,产生多项设计结果:(a)适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;(b)适配后的仿真模型;(c)器件编程文件。根据适配后的仿真模型,可以进行适配后时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确的预期未来芯片的实际性能。如果仿真结果达不到设计要求,就修改VHDL源代码或选择不同速度和品质的器件,直至满足设计要求。

最后将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。

图2.3FPGA/CPLD 系统设计流程

2.4 FPGA 开发编程原理

硬件设计需要根据各种性能指标、成本、开发周期等因素,确定最佳的实现方案,画出系统框图,选择芯片,设计PCB 并最终形成样机。

CPLD/FPGA 软件设计可分为两大块:编程语言和编程工具。编程语言主要有VHDL 和Verilog 两种硬件描述语言;编程工具主要是两大厂家Altera 和Xilinx 的集成综合EDA 软件(如MAX+plusII 、QuartusII 、Foundation 、ISE )以及第三方工具(如FPGA Express 、Modelsim 、Synposys SVS 等)。具体的设计输入方式有以下几种:

1.HDL 语言方式。HDL 既可以描述底层设计,也可以描述顶层的设计,但它不容易做到较高的工作速度和芯片利用率。用这种方式描述的项目最后所能达到的性能与设计人员的水平、经验以及综合软件有很大的关系。

2.图形方式。可以分为电路原理图描述,状态机描述和波形描述3种形式。有的软件3种输入方法都支持,如Active-HDL 。MAX+plusII 图形输入方式只支持电路原理图描述和波形描述两种。电路原理图方式描述比较直观和高效,对综合软件的要求不高。

系统划分

编译器

代码级功能仿真

综合器

适配前时序仿真

适配器

CPLD /FPG A 实现 适配后仿真模型 适配后时序仿真 适配报告

ASIC 实现 VHDL 代码或图形方式输入

仿真综合库 器件编程文件

一般大都使用成熟的IP核和中小规模集成电路所搭成的现成电路,整体放到一片可编程逻辑器件的内部去,所以硬件工作速度和芯片利用率很高,但是但项目很大的时候,该方法就显得有些繁琐;状态机描述主要用来设计基于状态机思想的时序电路。在图形的方式下定义好各个工作状态,然后在各个状态上输入转换条件以及相应的输入输出,最后生成HDL语言描述,送去综合软件综合到可编程逻辑器件的内部。由于状态机到HDL 语言有一种标准的对应描述方式,所以这种输入方式最后所能达到的工作速度和芯片利用率主要取决于综合软件;波形描述方式是基于真值表的一种图形输入方式,直接描述输入与输出的波形关系。这种输入方式最后所能达到的工作速度和芯片利用率也是主要取决于综合软件。

2.5 本章小结

本章具体的阐述了有关FPGA的研究目的及其历史意义,具体的介绍了FPGA的基本结构和编程原理,并讲述了各组成模块的主要用途及组成原理,以流程图的方式更加清晰地描述了FPGA的系统设计流程,概括了各个流程操作时需要注意的问题,为接下来的构思和程序设计做了很好的铺垫作用。

3 基于FPGA的交通灯控制器的设计

3.1 设计思路和原理框图

利用交通灯模块上的两组红、黄、绿LED发光二极管作为交通信号灯,LED七段数码管显示交通信号灯在某一状态所剩余的时间,利用实验板上的8Hz时钟信号和2048Hz 时钟信号,分别作为交通信号灯控制器的计时信号和LED七段数码管的扫描信号[1] 。

用VHDL编写程序实现交通信号灯控制器,其原理框图如下图所示。时钟信号clk 为8Hz标准信号,作为产生1s的信号,另一个时钟信号scanclk的频率为2048Hz,作为LED七段数码管的动态扫描信号;输出信号led<7:0>和row<3:0>分别输出段码和位选择控制信号。6个红、黄、绿信号灯输出信号(对应两组红、黄、绿灯,R1,Y1,G1为主干道红、黄、绿灯信号;R2,Y2,G2为支干道红、黄绿灯信号),当输出信号为高电平时,实验板上LED发光二极管发光。

图3.1 程序的主原理图

(1)本程序设计调用了IEEE库,IEEE库是VHDL设计中最为常用的库,它包含有IEEE 标准的程序包和其他一些支持工业标准的程序包。本设计采用了STD_LOGIC_1164,STD_LOGIC_ARITH,STD_LOGIC_UNSIGNED程序包。

(2)以关键词ENTITY引导,END traffic结尾的语句部分,称为实体。VHDL的实体描述了电路器件的外部情况及各信号端口的基本性质。本设计定义了交通信号灯的两个时钟信号,分别为scanclk和clk,以及各主路和支路的红黄绿灯。定义了各端口信号的数据类型,主要是STD_LOGIC(标准逻辑位数据类型)、STD_LOGIC_VECTOR(标准逻辑矢量数据类型)。这些都满足上面调用的IEEE库中的程序包。

(3)以关键词ARCHITECTURE引导,END结尾的语句部分,成为结构体。结构体负责描述电路器件的内部逻辑功能或电路结构。本设计的结构体中包含6个进程,分别是分频

器进程、计数器进程、状态寄存器进程、数码管驱动进程、七段数码管显示驱动进程。

3.2 各主要进程模块的说明

该程序由6个进程组成:

进程P1实现的是分频器的功能,它将8Hz标准信号分频后,产生1s时钟信号。因为信号是以秒跳变的,所以要分频成一秒,该分频器将信号8分频。

进程P2构成一个具有预置数功能的60s计时器.当预置数控制信号load有效时,60s计时器输出变成由进程P4输出的预置数输入信号,60s计时器输出两位BCD码输出信号

进程P3为状态寄存器,保持交通信号灯A,B,C,D的4种状态,根据60s计时器的输出,决定是否改变当前的状态,输出加载时间控制信号load。

进程P4为次态发生器,根据当前的状态产生状态机的下一个状态。进程P3为时序电路,进程P4为组合电路,进程P3和进程P4共同构成一个状态机,由进程P4产生进程P2所需要的预置数输入信号和控制6个红、黄、绿灯的输出信号。

进程P5和P6组成LED七段数码管显示驱动模块。

3.2.1 分频器模块

分频器是数字设计中的基本电路,可分为偶数分频、奇数分频、半整数分频等,有时要求整占空比,有时要求非占空比。分频器在FPGA的设计中也是使用效率非常高的基本设计。基于FPGA实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁锁相环电路,如ALTERA提供的PLL(Phase LockedLoop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如VHDL,Verilog HDL等.使用锁相环电路有许多优缺点,如如可以实现倍频;相位偏移,占空比可调等[3] 。但FPGA提供的锁相环个数极为有限,不能满足使用要求.因此使用硬件描述语言实现分频电路经常使用在数字电路

设计中,消耗不多的逻辑单元就可以实现对时钟的操作,具有成本低,可编程等优点.

该分频器实现的是将高频的标准信号转换成低频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器是对脉冲信号进行2的n次方分之一的分频,例如把8HZ 的脉冲信号变成1s的秒信号。这类分频器通常是利用T触发器实现,每来一个脉冲后触发器状态改变一次,经过n个T触发器处理后就可以得到2的n次方分之一的分频信号。

该模块的VHDL程序如下:

ENTITY DVF IS

PORT ( CLK : IN STD_LOGIC;

D : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

FOUT : OUT STD_LOGIC );

END;

ARCHITECTURE one OF DVF IS

SIGNAL FULL : STD_LOGIC;

BEGIN

P_REG: PROCESS(CLK)

V ARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF CNT8 = "11111111" THEN

CNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8

FULL <= '1'; --同时使溢出标志信号FULL输出为高电平

ELSE CNT8 := CNT8 + 1; --否则继续作加1计数

FULL <= '0'; --且输出溢出标志信号FULL为低电平

END IF;

END IF;

图3.2 分频器仿真图

3.2.2 LED七段数码管显示模块

7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十

进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是

十六进制的,为了满足十六进制的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中实现,输出信号的7位分别接图3-1中数码管的7个段,高位在左,低位

在右[4] 。例如当led灯输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a

分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。

图3.3 LED数码管管脚分配图(a)共阴极(b)共阳极

该模块的VHDL程序如下:

ENTITY DECL7S IS

PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);

LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ;

END ;

ARCHITECTURE one OF DECL7S IS

BEGIN

PROCESS( A )

BEGIN

CASE A IS

WHEN "0000" => LED7S <= "0111111" ; ——显示数字0

WHEN "0001" => LED7S <= "0000110" ; ——显示数字1

WHEN "0010" => LED7S <= "1011011" ; ——显示数字2

WHEN "0011" => LED7S <= "1001111" ; ——显示数字3

WHEN "0100" => LED7S <= "1100110" ; ——显示数字4

WHEN "0101" => LED7S <= "1101101" ; ——显示数字5

WHEN "0110" => LED7S <= "1111101" ; ——显示数字6

WHEN "0111" => LED7S <= "0000111" ; ——显示数字7

WHEN "1000" => LED7S <= "1111111" ; ——显示数字8

WHEN "1001" => LED7S <= "1101111" ; ——显示数字9

WHEN OTHERS => NULL;

END CASE;

END PROCESS ;

END ;

图3.4七段数码管仿真图

3.2.3 计数器模块

该模块的主要VHDL程序如下:

ENTITY CNT10 IS

PORT (CLK,RST,EN : IN STD_LOGIC;

CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

COUT : OUT STD_LOGIC );

END CNT10;

ARCHITECTURE behav OF CNT10 IS

BEGIN

PROCESS(CLK, RST, EN)

V ARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

IF RST = …1? THEN CQI := (OTHERS =>…0?) ;——计数器异步复位

ELSIF CLK…EVENT AND CLK=?1… THEN ——检测时钟上升沿

IF EN = '1' THEN ——检测是否允许计数(同步失能)IF CQI < 9 THEN CQI := CQI + 1; ——允许计数, 检测是否小于9

ELSE CQI := (OTHERS =>…0?); ——大于9,计数值清零

END IF;

END IF;

END IF;

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

交通工程毕业设计论文(设计)格式及要求模板(

毕业设计 [论文] 题目: 系别: 专业: 姓名: 学号: 指导教师: 河南城建学院 年月日

摘要 摘要是设计(论文)内容不加注释和评论的简短陈述,应以第三人称陈述。它应具有独立性和自含性,即不阅读设计(论文)的全文,就能获得必要的信息,摘要的内容应包含与设计(论文)同等量的主要信息,供读者确定有无必要阅读全文,也供文摘等二次文献采用。 摘要一般应说明研究工作目的、实验研究方法、结果和最终结论等,而重点是结果和结论。摘要中一般不用图、表、化学结构式、计算机程序,不用非公知公用的符号、术语和非法定的计量单位。 中文摘要一般不宜超过200~400字,英文摘要是中文摘要的英文译文,英文摘要页置于中文摘要页之后,其中英语摘要单词数量不少于500个。 关键词是为了文献索引工作从论文中选取出来用以表示全文主题内容信息款项的单词或术语。一般每篇论文应选取3~5个词作为关键词,关键词间用逗号分隔,最后一个词后不打标点符号。以显著的字符另起一行排在同种语言摘要的下方。如有可能,尽量用《汉语主题词表》等词表提供的规范词。 关键词:关键词1,关键词2,关键词3,关键词4,关键词5 注:中英文摘要的页码采用罗马字符编号,目录也采用罗马字符编号,正文页码采用阿拉伯数字编号,字体均采用Time New Roman 小五。

Abstract Abstract design (thesis) content without notes and comments in a brief statement, a statement should be the third person. It should have the independence and self-contained, ie not read (thesis) the text, you can obtain the necessary information, the contents of the summary should be included with the design (thesis) the same amount of key information for readers to determine the need to read The full text of abstracts and other secondary literature is also available for use. Key words: key word1, key word2, key word3, key word4, key word5

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

模拟交通灯 毕业设计

毕业设计 题目模拟交通灯 系别电气工程系 专业电气自动化技术 班级电气0801班 姓名 学号 指导教师 日期 2010年12月

设计任务书 设计题目: 模拟交通灯 设计要求: 1.用单片机组成模拟交通灯系统,设计硬件电路及相应软件。 2.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、绿灯、黄灯。 3.设置一组数码管,以倒计时的方式显示允许通过或禁止通行的时间,其中左转灯、绿灯、黄灯、红灯的持续时间分别是15S、30S、3S、48S。 4.当各条路上任意一条出现特殊情况,例如消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁,当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计进度要求: 第一周:确定题目,查阅有关资料; 第二周:查阅资料,收集资料; 第三周:列出设计思路; 第四周:硬件电路的设计; 第五周:软件程序的设计; 第六周:软件程序的仿真与调试; 第七周:打印毕业论文; 第八周:毕业答辩 指导教师(签名):

摘要 设计以单片机为核心部件的模拟交通灯,利用74LS244作为断码驱动器,74LS07作为位码驱动,LED七段数码管作为计时显示用,用发光二极管指示交通的通行,用按键进行紧急事件的发生,使两个方向都亮红灯,绿灯亮通行,红灯亮停止通行。 本设计利用定时器进行定时,使定时器工作于方式一定时50ms,配合软件计数器,调用中断程序使定时器定时20次,达到定时1S的目的,同时调用显示程序,显示到计时的时间,用单片机Intel89S51作为核心部件,8路74LS244总线驱动器作为字形驱动芯片和6路驱动74LS07位选码作为中心器件来设计交通灯控制器,实现了交通灯的控制,显示时间直接通过89S51的P0、P1口输出;交通灯信号通过P3口输出;本交通灯系统简单,实用性强,成本低,使用维护方便,软件功能强,运行稳定可靠等优点。 关键词:单片机,交通灯,位码,段码,显示

交通工程毕业设计计算书

某省道兴化至泰州段建设工程设计 摘要:本设计为某省道兴化至泰州段建设工程设计,包括方案、路线、路基路面、排水系统以及沿线主要配套设施的设计。本工程设计速度为80km/h,本次设计包括道路平面设计, 道路纵断面设计, 道路横断面设计,路基设计,沥青路面设计,路基路面排水设计,桥涵及附属构造物设计等。 本设计的路线,纵断面设计共设3个边坡点,最大坡度为0.818%,最小坡度为0.33%。竖曲线半径分别有25000m,15000m,20000m(自己改)。路基宽度为26m,行车道宽度为3.75m,土路肩0.75m,硬路肩3m,中央分隔带3.5m。路面结构中,面层采用沥青混凝土(13cm),其中表面层采用细粒式密级配沥青混土(厚度3cm),中面层采用中粒式密级配沥青混凝土(厚度4cm),下面层采用粗粒式密级配沥青混凝土(厚度6cm);基层采用石灰土(厚度为45cm);底基层采用碎石灰土(厚度为25cm)。本路段设计桥涵2座桥,结合桥头地质情况综合考虑灌溉、排涝及地方出行的要求进行桥跨布置。 关键词:工程设计纵断面横断面路基设计沥青路面设计桥涵及附属构造物设计

Abstract:The design, construction and engineering design, including the design of programs, routes, subgrade and pavement, drainage systems, as well as along the main supporting facilities of the province Road Xinghua, Taizhou segment. This engineering design speed of 80km / h, this design includes the road graphic design, road vertical alignment design, road cross-sectional design, the design of embankment, asphalt pavement design, subgrade and pavement drainage design, bridge and subsidiary structures design. This design, too, Profile Design, 3 slope, the maximum gradient of 0.818%, the minimum slope of 0.33%. V ertical curve radius of 25000m, 15000m, 20000m (change). Roadbed width of 26m, the carriageway width of 3.75m, 0.75m soil shoulder hard shoulder 3m, the central median of 3.5m. Pavement structure, the surface layer of asphalt concrete (13cm), the surface layer is fine-grained type dense-graded asphalt mix soil (thickness 3cm) in the surface layer in grain-type dense-graded asphalt concrete (thickness 4cm), the following layer of coarse grain type dense-graded asphalt concrete (thickness 6cm); primary calcareous soil (thickness 45cm); sub-base gravel dust (thickness 25cm). The design of the sections of bridges and culverts 2 bridge, combined with the the bridgehead geological conditions considering the travel requirements of irrigation, drainage and local bridge span arrangement. Keywords:engineering design longitudinal cross-sectional roadbed design asphalt pavement design bridges and culverts and ancillary structures design

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

模拟交通灯课程设计

《单片机微机原理及应用》 题目:模拟交通灯的设计 专业:测控技术与仪器 班级: 姓名: 姓名: 姓名: 姓名: 指导老师: 2015年1月10日

目录 1、设计任务 (3) 2、模拟交通灯控制系统工作原理 (4) 2.1 模拟交通灯控制系统的工作原理 (4) 3、设计基本要求和步骤 (5) 3.1 基本要求 (5) 3.2 设计思路 (5) 3.2 设计步骤 (5) 4、硬件和软件设计 (7) 4.1 交通灯控制系统硬件框图 (7) 4.2 硬件电路图 (9) 4.3 程序流程图 (10) 5、心得体会 (13) 6、附录 (14) 5.1 汇编语言源程序 (14) 5.2 模拟交通灯仿真效果图 (18)

1、设计任务:模拟交通灯的设计 1.1、模拟交通灯控制系统的总体方案设计 本设计研究的是基于AT89C51单片机的交通灯智能控制系统的设计原理及阐述。主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行,占用端口少,耗电也最小。系统电源采用独立的+5V稳压电源,有各种成熟电路可供选用,使此方案可靠稳定。该设计精简并优化了电路。结合实际情况,显示界面采用点阵LED数码管动态扫描的方法,满足了倒计时的时间显示输出和状态灯提示信息输出的要求,减少系统的复杂度。

2、交通灯控制系统工作原理 2.1、交通灯控制系统工作原理 本系统运用单片机对交通灯控制系统实施控制,通过直接控制信号灯的状态变化,指挥交通的具体运行,运用了LED数码管显示倒计时以提醒行驶者,更添加了盲人提示音电路,方便视力障碍群体通行,更具人性化。在此基础上,加入了特种车辆自动通行控制模块和车流量检测电路为系统采集数据,经单片机进行具体处理,及时调整通行方向。由此,本设计系统以单片机为控制核心,构成最小系统,根据特种车辆自动通行控制模块、车辆检测模块和按键设置模块等产生输入,由信号灯状态模块,LED倒计时模块输出。系统进入工作状态,LED数码管实时显示数据倒计时,执行交通灯状态显示控制,在此过程中若有控制信号和实时车流量检测信号,可对异常状态进行实时控制,随时调用中断,达到修正通行时间满足不同时间不同路况的需求。

交通工程毕业论文

交通工程毕业论文 Revised by Chen Zhen in 2021

继续教育学院 毕业论文 题目:江西吉安地区某二级公路的设计 学生姓名:袁隆寒 考籍号: 班级:海南自考 专业:交通土建 指导老师: 2014年6月

(江西吉安地区某二级公路的设计)学生姓名:袁隆寒 考籍号: 站点:指导教师: 完成日期:

摘要 本设计主要完成了江西吉安地区某二级公路的设计。根据给定的材料,以及结合当地的自然和经济情况,进行了纸上选线等设计。该设计主要以路线为主,并兼顾了其他方面。路线部分首先根据设计交通量确定了公路的等级,公路等级确定主要技术标准并进行路线平面设计,对路线进行了局部比选;考虑填挖平衡及最小填土高度进行了纵断面设计;对局部进行了横断面设计,绘出路基横断面图,对路基进行加宽和超高计算,编制路基设计表,进行土石方计算及调配;为保证路基处于干燥的稳定状态,对路基路面进行了排水设施设计;对涵洞尺寸标高进行了初步拟定,并对路基工程进行了局部土石方分项预算。 关键词:路线路面路基防护分项预算

Abstract The main Summary of this design had completed a two highway design in a certain area of Ji'an in Jiangxi. The main task of the design is primarily of routes, and to take into account the other aspects. The line design is determined according to the Highway grading standards to have the main technical and graphic design for the road on the route having comparing with the local. Considering filling dug balance and minimum height of filling, the profile design is drawn. Make a design for the cross-sectional, then draw cross-sectional map. After have calculation of roadbed for widening and elevation, drafting the questionnaire design, earthwork calculations and deployment; To ensure road embankment in the stability of dry, it make road drainage facilities design; Elevation culvert size of the initial formulation, and have embankment works at the project budget. Keywords: Route;Pavement;Subgrade;Protection;Budget breakdown

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

交通工程专业道路毕业设计

淮连一级公路L1标段 第一章 公路路线设计 1.1 公路几何指标的计算、确定与复核 1.1.1 交通量计算 已知资料(交通量年增长率为8%)见表1-1。 原始交通量 表1-1 小客车 解放 CA10B 黄河 JN 150 交通 SH 361 太脱 138 吉尔 130 尼桑 CK 10G J2 1980 360 300 180 192 380 300 根据《公路工程技术标准》(JTG B01-2003),各汽车换算系数见表1-2。 各汽车代表车型与换算系数 表1-2 设计车辆 载重(t ) 折算系数 折算后交通量 说明 小客车 1.0 1980 ≦19座的客车和载重量≦2t 的折算系 数 1.0 〉19座的客车和载重量〉2t 的折算系 数 1.5 载重量在7~14t 的货车的折算系数 2.0 载重量在〉14t 的货车的折算系数 3.0 解放CA10B 4 1.5 540 黄河JN 150 8.26 2.0 600 交通SH 361 15 3.0 540 太脱拉138 12 2.0 384 吉尔 130 4 1.5 570 尼桑CK10G 6.665 1.5 450 初始年交通量: N0=1980+540+600+540+384+570+450=5064(辆) 远景设计年平均日交通量 21855 )08.01(5064)1(12010=+?=+=--n d N N γ(辆) 式中:n--远景设计年限,一级公路为20年。 由远景设计年平均日交通量21855辆/日,根据《标准》1.0.3规定,拟定该公路为一级公路四车道,设计车速100公里/小时。 1.1.2相关技术指标 1) 车道宽度 当设计车速为100公里/小时时,单车道宽度为3.75米。 2) 一级公路整体式断面必须设置中央带。中央带由两条左侧路缘带和中央分隔带组成,可采用《标准》一般值设计,取3.50米。 3) 路肩宽度 根据《标准》,左侧硬路肩宽度采用3.00米,土路肩宽度采用0.75米,一级公路应在右侧硬路肩宽度内设右侧路缘带,采用0.50米。 4) 一级公路的连续上坡路段,当通行能力运行安全受到影响时应设置爬坡车道,其宽度为3.50米,连续长坡下坡路段,危及运行安全处应设置避险车道。对于本设计都

交通灯毕业设计 摘要

中文摘要 传统的交通灯控制系统大多是由数字电路来实现的,交通灯控制系统稳定性可靠性与抗干扰能力较差,随着社会经济的发展,数字电路交通灯越来越不能满足日益增长的交通压力,因此必须寻求一种新的方法来取代这种复杂而工作不稳定的控制系统。 随着科技的发展,可编程控制器(PLC )的功能日益完善,可编程控制器已作为一种以微电脑技术为核心的自动控制装置,已被广泛应用于机械制造、冶金、化工、能源等各种行业。它可靠性高、功能完善、抗干扰能力强,具有结构简单、重量轻等优点,是一种用于工业环境及过程控制的数字运算操作的电子系统。采用PLC控制交通信号灯,主要是考虑其具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别是方便地实现多岔路口的控制。由于PLC本身具有通信联网功能,可将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 在实际应用中,采用PLC控制城市交通信号灯,能根据不同的路况要求,随时修改控制程序,以改变各信号灯的工作时间和工作状况。与继电器或逻辑电路控制系统相比,PLC控制系统具有更高的可靠性、灵活性和经济实用性。本论文就是运用PLC原理来实现对十字路口的交通灯的控制,介绍了基于PLC 在交通系统的运用,系统介绍了PLC的基本原理。

关键词:交通灯控制系统;可编程控制器(PLC);十字路口;科学化管理;稳 定性;可靠性 ABSTRACT The traditional traffic control system is mostly by digital circuit, traffic control system stability reliability and anti-interference ability is bad, With the development of society and economy, and digital circuit traffic lights to meet the growing traffic pressure, therefore, must seek a new method to replace the complex and working stability of the control system. With the development of science and technology, the programmable logic controller (PLC) function, the programmable controller has, as a kind of microcomputer technology as the core in automatic control equipment, has been widely used in mechanical manufacturing, metallurgy, chemical, energy, etc. It has high reliability, complete functions, strong anti-jamming capability, with simple structure, light weight, it is a kind of process control for industrial environment and the number of operating system. PLC control traffic lights, mainly is for use with the consideration of the characteristics of strong adaptability to environment, and its internal timer resources are very rich, but for now the widespread use of "progressive" light accurately control, particularly easily realize more control of the cross-roads. Because of PLC network communication function, has the same path can be composed of a

相关文档
相关文档 最新文档