文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础数字部分第五版康光华主编第1~6章章节详细习题答案

电子技术基础数字部分第五版康光华主编第1~6章章节详细习题答案

电子技术基础数字部分第五版康光华主编第1~6章章节详细习题答案
电子技术基础数字部分第五版康光华主编第1~6章章节详细习题答案

第一章习题答案

1.1.4 一周期性信号的波形如图题1.1.4所示,试计算:(1)周期;(2)频率;(3)占空比

12

1112(ms)

图题1.1.4

解: 周期T=10ms 频率f=1/T=100Hz

占空比q=t w /T ×100%=1ms/10ms ×100%=10%

1.2.2 将下列十进制数转换为二进制数、八进制数和十六进制数,要求误差不大于2-4

: (1)43 (2)127

(3)254.25

(4)2.718

解:

1. 转换为二进制数:

(1)将十进制数43转换为二进制数,采用“短除法”,其过程如下:

2 4

3 ………………………余1……b 02 21 ………………………余1……b 12 1 ………………………余1……b 5

2 2 ………………………余0……b 42 5 ………………………余1……b 32 10 ………………………余0……b 2

高位

低位

从高位到低位写出二进制数,可得(43)D =(101011)B

(2)将十进制数127转换为二进制数,除可用“短除法”外,还可用“拆分比较法”较为简单: 因为27=128,因此(127)D =128-1=27-1=(1000 0000)B -1=(111 1111)B

(3)将十进制数254.25转换为二进制数,

整数部分(254)D =256-2=28-2=(1 0000 0000)B -2=(1111 1110)B 小数部分(0.25)D =(0.01)B (254.25)D =(1111 1110.01)B (4)将十进制数2.718转换为二进制数 整数部分(2)D =(10)B

小数部分(0.718)D =(0.1011)B 演算过程如下:

0.718×2=1.436……1……b

-1

0.436×2=0.872……0……b

-2 0.872×2=1.744……1……b

-3 0.744×2=1.488……1……b

-4 0.488×2=0.976……0……b

-5 0.976×2=1.952……1……b

-6高位低位

要求转换误差小于2-4,只要保留小数点后4位即可,这里算到6位是为了方便转换为8进制数。

2. 转换为八进制数和十六进制数

(1)(43)D=(101011)B=(53)O=(2B)H

(2)(127)D=(1111111)B=(177)O=(7F)H

(3)(254.25)D=(11111110.01)B=(376.2)O=(FE.4)H

(4)(2.718)D=(10.101101)B=(2.55)O=(2.B)H

1.2.6 将下列十六进制数转换为十进制数:(1)(103.2)H;(2)(A45D.0BC)H

解:(1)(103.2)H =1×162+3×160+2×16-1=(259.125103.2)D

(2)(A45D.0BC)H =10×163+4×162+5×161+13×160+11×16-2+12×16-3

=(42077.0459)D

1.3.3 试用8位二进制补码计算下列各式,并用十进制表示结果。

(1)12+9 (2)11-3 (3)-29-25 (4)-120+30

解:

(1)12+9=(12)补+(9)补=(0000 1100)B+(0000 1001)B=(0001 0101)B=21

(2)11-3=(11)补+(-3)补=(00001011)B+(11111101)B=(00001000)B=8

(3)-29-25=(-29)补+(-25)补=(11100011)B+(11100111)B=(11001010)B=-54

(4)-120+30=(-120)补+(30)补=(10001000)B+(00011110)B=(10100110)B=-90 1.3.4试用8位二进制补码计算下列各式,判断有无溢出并说明原因:

(1)-70h-20h (2)70h+95h

解:(1)-70h-20h=(-70h)

补+(-20h)

=(1001 0000)B+(1110 0000)B=(0111 0000)B

+ 1 1 1 0 0 0 0 0

1 0 1 1 1 0 0 0 0

1 0 0 1 0 0 0 0

进位被舍掉,8位结果为(0111 0000)B

判断:次高位向最高位没有进位,而最高位向上有进位,因此有溢出。

理解:因为-70h与-20h的和为-90h(-144),超出了8位二进制补码的表示范围(-128~+127),所以有溢出。从结果上看,两个负数相加,而得到的结果为正数,产生了溢出错误。

(2)70h+20h=(70h)

补+(20h)

=(0111 0000)B+(0010 0000)B=(1001 0000)B

+ 0 0 1 0 0 0 0 0

1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0

判断:次高位向最高位有进位,而最高位向上没有进位,因此有溢出。

理解:因为70h与20h的和为90h(144),超出了8位二进制补码的表示范围(-128~+127),所以有溢出。从结果上看,两个正数相加,而得到的结果为负数,产生了溢出错误。

1.4.1将下列十进制数转换为8421BCD码:(1)43 (2)127 (3)254.25 (4)

2.718

解:将每位十进制数用4位8421BCD码表示,并填入原数中相应的位置,即可得到其8421BCD码:

(1)(43)D=(0100 0011)8421BCD

(2)(127)D=(0001 0010 0111)BCD

(3)(254.25)D=(0010 0101 0100.0010 0101)BCD

(4)(2.718)D=(0100.0111 0001 1000)BCD

1.6.1在图题1.6.1中,已知输入信号A、B的波形,画出各门电路输出L的波形。

A B L

A

B

L

A B L

A

B

L

(a)(b)

图题1.6.1

第一章习题

1.1.4 1.

2.2 1.2.6 (1) 1.

3.3 (2) (3) 1.

4.1 1.6.1 第二章习题答案

2.1.1 用真值表证明下列恒等式

(2)(A+B)(A+C)=A+BC

证明:列真值表如下:

成立。

2.1.3 用逻辑代数定律证明下列等式:

(3)()A A B C AC D C D E A C D E ++++=++ 证明:

()A A B C AC D C D E A AC D C D E A C D C D E A C D E

++++=++=++=++

2.1.4用代数法化简下列各式 (4)

()()()()()110

A B A B C A B A B A B B C A B A A B C A A B C A A A B C B C +++=+++=++=+++=++=+==

2.1.5将下列各式转换成与或形式 (2)

()()()()

A B C D C D A D A B C D C D A D A C A D B C B D A C C D A D D A C B C A D B D C D D A C B C D

+++++++=+++++=+++++++=+++++=++

2.1.7 画出实现下列逻辑表达式的逻辑电路图,限使用非门和二输入与非门。 (1)L=AB+AC

解:先将逻辑表达式化为与非-与非式:

L A B A C A B A C A B A C =+=+=

根据与非-与非表达式,画出逻辑图如下:

L

A B C

2.1.8 已知逻辑函数表达式为L A B AC =+,画出实现该式的逻辑电路图,限使用非门和二输入或非门。

解:先将逻辑函数化为或非—或非表达式

L A B AC A B AC A B A C =+=+=+++

根据或非—或非表达式,画出逻辑图如下:

A B C

L

另一种做法:用卡诺图化简变换为最简或与式

A+B

()()()()L A C A B A C A B A C A B =++=++=+++

根据或非—或非表达式,画出逻辑图如下:

A

C B

L

2.2.1将下列函数展开为最小项表达式 (1)

()()(,,,,)

29101315L AC D BC D A B C D A B B C D A B C D A A BC D A B C D A B C D A B C D A BC D A BC D A B C D m =++=+++++=++++=

(2)()L A B C =+

()()()(,,)

023L A B C AB AC AB C C A B B C AB C AB C AB C A B C AB C AB C A B C m =+=+=+++=+++=++=

(,,,,)14567L L m ==

2.2.3用卡诺图化简下列各式

(1) A BCD AB C D A B A D A BC ++++ 解:由逻辑表达式作卡诺图如下:

AB

AD

由卡诺图得到最简与或表达式如下: L A B AC A D =++

(5)(,,,)(,,,,,,,,,)0125689101314L A B C D m =

解:由逻辑表达式作卡诺图如下:

由卡诺图得到最简与或表达式如下:

(,,,)L A B C D B D C D C D =++

(7) (,,,)(,,,)(,,,,,)

013141512391011L A B C D m d =

+∑∑

解:由逻辑表达式作卡诺图如下:

A B AD

AC

由卡诺图得到最简与或表达式如下:

(,,,)L A B C D A B A C A D =++

第三章作业答案

3.1.2(2)求74LS 门驱动74ALS 系列门电路的扇出数

解:首先分别求出拉电流工作时的扇出数N OH 和灌电流工作时的扇出数N OL ,两者中的最小值就是扇出数。

从教材附录A 可查得74LS 系列门电路的输出电流参数为I OH =0.4mA,I OL =8mA ,74ALS 系列门电路的输入电流参数为I IH =0.02mA,I IL =0.1mA

拉电流工作时的扇出数..0420002O H O H IH I m A N I m A =

==

灌电流工作时的扇出数.88001O L O L IL

I m A N I m A

=

==

因此,74LS 门驱动74ALS 系列门电路的扇出数N O 为20。 3.1.4已知图题3.1.4所示各MOSFET 管的∣V T ∣=2V ,忽略电阻上的压降,试确定其工作状态(导通或截止)。

解:图(a )和(c )为N 沟道场效应管,对于图(a ),V GS =5V>V T ,因此管子导通 对于图(c ),V GS =0V

图(b )和(d )为P 沟道场效应管,对于图(b ),V GS =5V-5V=0>V T ,因此管子截止 对于图(d ),V GS =0V-5V=-5V

3.1.12试分析图题3.1.12所示的CMOS 电路,说明他们的逻辑功能。

解:从图上看,这些电路都是三态门电路,分析这类电路要先分析使能端的工作情况,然后再分析逻辑功能。

(a )当EN =0时,T P2和T N2均导通,由T P1和T N1组成的反相器正常工作,L A =; 当EN =1时,T P2和T N2均截止,此时无论输入端A 为高电平还是低电平,输出端均为高阻态;

因此该电路为低电平使能三态非门。

(b )当EN =0时,或门的输出为A ,T P2导通,由T P1和T N1组成的反相器正常工作,L A =;

当EN =1时,或门的输出为0,T P2和T N1均截止,此时无论输入端A 为高电平还是低电平,输出端均为高阻态;

因此该电路为低电平使能三态缓冲器。

(c )当EN=1时,T N2导通,与非门的输出为A ,由T P1和T N1组成的反相器正常工作,L A =;

当EN=0时,与非门的输出为1,T P1和T N2均截止,此时无论输入端A 为高电平还是低

电平,输出端均为高阻态;

因此该电路为高电平使能三态缓冲器。

(d )当EN =0时,传输门导通,由T P1和T N1组成的反相器正常工作,L A =;

当EN =1时,传输门截止,此时无论输入端A 为高电平还是低电平,输出端均为高阻

态; 因此该电路为低电平使能三态非门。

3.1.14由CMOS 传输门构成的电路如图题3.1.4所示,试列出其真值表,说明该电路的逻辑功能。

解:当CS=1时,4个传输门均处于高阻状态,当CS=0时,传输门的状态由输入A 和B 决定,当A=B=0时,TG 1和TG 2导通,TG 3和TG 4截止,L=1。依次分析电路可得到真值表如下:

CS A B L 1 ╳ ╳ 高阻态 0 0 0 1 0 0 1 0 0 1 0 0 0

1

1

根据真值表可得到L A B =+,因此,该电路实现低电平使能的二输入或非逻辑功能。

3.5.1 试对图题3.5.1所示的逻辑门进行变换,使其可以用单一的或非门实现。 解:

A C

B L

D

A C

B

D

A C

B D

3.6.1 当CMOS 和TTL 两种门电路相互连接时,要考虑哪几个电压和电流参数?这些参数应满足怎样的关系?

解:当CMOS 和TTL 两种门电路相互连接时,需要考虑驱动门的输出电压V OH(min)、V OL(max)和电流值I OH(max) 、I OL(max)与负载门的输入电压V IH(min)、V IL(max)和电流值I IH(max) 、I IL(max)

驱动门和负载门是否匹配要考虑两个方面的因素,首先是驱动门的输出电压必须满足负载门输入高低电平的范围,即

V OH(min) ≥ V IH(min) V OL(max) ≤ V IL(max)

其次,驱动门必须为负载门提供足够的灌电流和拉电流,即

I OH(max) ≥ I IH(total) I OL(max) ≥ I IL(total)

如果上述条件都满足,则两种门电路可以直接相互连接。

3.6.7设计一个发光二极管(LED )驱动电路,设LED 的参数为V F =2.5V ,I D =

4.5mA;若V CC =5V ,当LED 发光时,电路的输出为低电平。选择集成电路的型号,并画出电路图。

解:根据题意,当LED 发光时,电路的输出为低电平,并且I D =4.5mA ,因此选用器件的低

电平输出电流I OL(max)必须大于4.5mA ,查附录A 得知,CMOS 门电路的I OL(max)小于4.5mA ,不能使用,而TTL 门电路的I OL(max)为8mA ,符合要求,因此,可以选用74LS 系列TTL 门电路作为该发光二极管的驱动门电路。电路图如下:

74LS 系列TTL 门电路的V OL(max)=0.5V 电路中的限流电阻最小值为

(m ax)

(..).5250544445C C F O L D

V V V V

R I m A

Ω----=

=

我们选用标准电阻值系列R=470Ω

第四章习题答案

4.1.4 试分析图题4.1.4所示逻辑电路的功能。

解:(1)根据逻辑电路写出逻辑表达式:()()L A B C D =⊕⊕⊕ (2)根据逻辑表达式列出真值表:

由真值表可知,当输入变量ABCD中有奇数个1时,输出L=1,当输入变量中有偶数个1时,输出L=0。因此该电路为奇校验电路。

4.2.5 试设计一个组合逻辑电路,能够对输入的4位二进制数进行求反加1 的运算。可以用任何门电路来实现。

解:(1)设输入变量为A、B、C、D,输出变量为L3、L2、L1、L0。

(2)根据题意列真值表:

(3)由真值表画卡诺图

(4)由卡诺图化简求得各输出逻辑表达式

()()()3L AB AC AD A B C D A B C D A B C D A B C D =+++=+++++=⊕++ ()()()2L BC B D B C D B C D B C D B C D =++=+++=⊕+

1

L C D C D C D =+=⊕

0L D =

(5)根据上述逻辑表达式用或门和异或门实现电路,画出逻辑图如下:

A B C

D

L 3

L 2

L 1L 0

4.3.1判断下列函数是否有可能产生竞争冒险,如果有应如何消除。 (2)(,,,)(,,,,,,,)2578910111315L A B C D m =∑ (4)(,,,)(,,,,,,,)4024612131415L A B C D m =

解:根据逻辑表达式画出各卡诺图如下:

(2)2L A B BD =+,在卡诺图上两个卡诺圈相切,有可能产生竞争冒险。

消除办法:在卡诺图上增加卡诺圈(虚线)包围相切部分最小项,使2L A B BD AD =++,可消除竞争冒险。

(4)4L AB A D =+,在卡诺图上两个卡诺圈相切,有可能产生竞争冒险。

消除办法:在卡诺图上增加卡诺圈(虚线)包围相切部分最小项,

使

4L AB A D B D =++,可消除竞争冒险。

4.3.4 画出下列逻辑函数的逻辑图,电路在什么情况下产生竞争冒险,怎样修改电路能消除竞争冒险。 (,,)

()()

L A B C A B B

C =+

+ 解:根据逻辑表达式画出逻辑图如下:

A B

C

L

当A=C=0时,(,,)L A B C B B =,可能产生竞争冒险。 消除竞争冒险办法:

(1)将逻辑表达式变换为(,,)L A B C A B A C BC =++,根据这个逻辑表达式组成的逻辑电路就不会产生竞争冒险。逻辑图如下:

L

A

B

C

(2)用卡诺图法在增加卡诺圈,包围卡诺圈相切部分,增加或与表达式中的或项

得到(,,)()()()L A B C A B B C A C =+++,根据这个逻辑表达式组成的逻辑电路就不会产生竞争冒险。逻辑图如下:

A B

C

L

4.4.1 优先编码器CD4532的输入端I 1=I 3=I 5=1,其余输入端均为0,试确定其输出端Y 2Y 1Y 0。

解:优先编码器CD4532的输入端除I i 外,还有使能端EI ,由于EI=0,因此编码器不工作,其输出端Y 2Y 1Y 0=000。

4.4.5 为了使74HC138译码器的第十脚输出低电平,试标出各输入端应置的逻辑电平。 解:查74HC138译码器的引脚图,第十脚为5Y ,对应的A 2A 1A 0=101,控制端E 3、2E 、1E 分别接1、0、0,电源输入端Vcc 接电源,接地端GND 接地,如下图所示:

V CC

输出0

4.4.6 用74HC138译码器和适当的

逻辑门实

现函数

F A B C A B C AB C ABC =+++。

解:用74HC138译码器实现逻辑函数,需要将函数式变换为最小项之和的形式

04670467

0467F A B C A B C A B C A B C m m m m m m m m Y Y

Y Y

=+++=+++==

在译码器输出端用一个与非门,即可实现所要求的逻辑函数。逻辑图如下:

4.4.12 试用一片74x154译码器和必要的与非门,设计一个乘法器电路,实现2位二进制数相乘,并输出结果。

解:设2

由真值表可直接写出各输出端的最小项逻辑表达式:

15315P m Y ==

1411101411102141110P m m m m m m Y Y Y =++== 141311976114131197141311976

P m m m m m m m m m m m Y Y Y Y Y Y

=++++==

151375

1513750151375P m m m m m m m m Y Y Y Y

=+++==

用一片74x154和4个与非门即可实现所要求的乘法电路,逻辑电路图如下:

P 0P 1P 2P 3

4.4.14 7段译码显示电路如图4.4.14(a )所示,对应图4.4.14(b )所示输入波形,试

确定显示器显示的字符序列。

解:当LE =0时,图4.4.14(a )所示译码器能正常工作,所显示的字符就是A 3A 2A 1A 0

所表示的十进制数,显示的字符序列为0、1、6、9、4。当LE 由0跳变为1时,数字4被锁存,所以持续显示4。

4.4.21 应用74HC151实现如下逻辑函数:

(1)L A BC A BC A BC =++ (2)()L A B C =

解:用74HC151实现逻辑函数,首先要将逻辑函数化成最小项的形式,根据最小项表达式确定数据输入端Di 的取值,并注意变量的高低位与地址输入端的连接顺序。

(1)451L A B C A BC A BC m m m =++=++ 与数据选择器74HC151的标准表达式相比较

21021202001121031010242052162107

0011223344556677

Y S S S D S S S D S S S D S S S D S S S D S S S D S S S D S S S D m D m D m D m D m D m D m D m D =+++++++=+++++++

将L 与Y 比较可得:

D 0=D 2=D 3=D 6=D 7=0,D 1=D 4=D 5=1

将A 、B 、C 分别与地址输入端S 2、S 1、S 0连接,逻辑电路如图所示:

L ABC ABC ABC

=++A B C

(2)()1247L A B C A BC AB C A B C A B C m m m m ==+++=+++ D 0=D 3=D 5=D 6= 0,D 1=D 2=D 4=D 7

=1

A B C

()L A B C

=

4.4.22 应用已介绍过的集成组合逻辑电路设计一个数据传输电路,其功能是在3位通道选择信号的控制下,将8个输入数据中的任何一个传送到相对应的输出端输出。

通道选择信号

通道选择信号

I 0I 1I 7

Y 0Y 1Y 7

解:应用教材中介绍的中规模组合逻辑电路8选1数据选择器74HC151和3线8线译码器74HC138(作为分配器使用)各一片组成数据传输电路,逻辑电路图如下:

电路通过74HC151根据通道选择信号A 2A 1A 0选择数据,通过74HC138分配至由A 2A 1A 0

决定的输出端。

4.4.26 试用数值比较器74HC85设计一个8421BCD 码有效性测试电路,当输入为8421BCD 码时,输出为1,否则输出0。

解:8421BCD 码的范围是0000~1001,即所有有效的8421BCD 码均小于1010。用74HC85构成的测试电路如下图所示,将8421BCD 码输入接A 3A 2A 1A 0,B 3B 2B 1B 0接1010,当输入的8421BCD 码小于1010时,F A

A A A A 1

1

L

001

BCD 码输入

4.4.33 试用若干片74x283构成一个12位二进制加法器画出连接图。 解:构成一个12位二进制加法器需要3片74x283以串行进位的方式进行连接,逻辑电路图如下所示:

1110

98

7654

3210

C

第五章作业答案

5.2.1 分析图题5.2.1所示电路的逻辑功能,列出功能表。

Q

Q

S

R 图题5.2.1

解:方法(1) 将图题5.2.1所示电路与由与非门构成的基本RS 锁存器比较,发现该电路与后者仅在信号输入端分别多了一个非门,而后者为低电平有效的基本RS 锁存器,因此该电路为高电平有效的RS 锁存器,功能表如下:

方法(2) 由逻辑电路图可以得到Q 端和Q 端的逻辑表达式

n Q S Q =

Q R Q =

根据上面的逻辑表达式,可以得到该锁存器的功能表如下所示:

(略,同上表)

5.3.1 触发器的逻辑电路如图题5.3.1所示,确定其属于何种电路结构的触发器,并分析工作原理。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

相关文档
相关文档 最新文档