文档库 最新最全的文档下载
当前位置:文档库 › 智力抢答器

智力抢答器

智力抢答器
智力抢答器

《数字电子技术》课程设计说明书

课题名称:智力抢答器

专业名称:电信系电气自动化学生班级:班

学生姓名:

学生学号:

指导老师:

完成时间:2011年5月

目录

第一章摘要 (3)

第二章智力抢答器任务设计书 (3)

第三章方案确定 (4)

第四章功能介绍 (7)

第五章实现的原理与电路 (8)

第六章电路的安装 (12)

第七章电路的调试与测试 (13)

第八章元件清单 (15)

第九章心得 (16)

第十章参考文献 (17)

第十一章附录 (17)

第一章摘要

本文介绍了一种用74系列常用集成电路设计的数码显示抢答器的电路组成、设计思路及功能。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能。

关键词:

抢答器, 设计,定时,计时,报警

Abstract:

In this paper, the electric circuit and designing thought of an answering racer based on the common-used series of 74 IC with 8-wire is introduced, and its function is also described. The answering race’s function includes timing, counting, and alarming, besides the basic function of an answering racer. The host sets the provided time for the answering race through the time-setting switch, after this the system will count down the time automatically. If anybody answer the question on time, the counting of time will stop; If nobody answer the question on time, the alarm will give out some sound, helping the host know the race in this turn is of no use, so the function of alarming is achieved. Keywords:

answering racer, design, timing, counting, alarming

第二章智力抢答器任务设计书

一、设计要求

1、四组参赛者在进行抢答时,当抢答者按下面前的按钮时,抢答器都能准

确地判断出抢先者,并显示相应的组号。

2、抢答器应具有互锁功能,某组抢答后能自动封锁其它各组进行抢答。

3、系统应具有一个总复位开关。

4、安装自己设计的电路。

5、通电调试。

二、提高部分

1、抢答者犯规或违章(主持人未说‘开始抢答’时,参赛者抢先按钮)时,

应自动发出警告信号,以指示灯光闪为标志。

2、抢答器应具有限时功能,抢答时限时为30秒。

三、设计资料

1、张兴忠.数字逻辑与数字系统实践技术-学习指导、实验与课程设计

[M].北京:科学出版社,2005.6;

2、延明,张亦华、肖冰.数字逻辑设计实验与EDA技术[M].北京:北京

邮电大学出版社,2006.1;

四、设计成果

1、课程设计说明书(5000字左右)。

2、作品

设计提示:

1、可用触发器、锁存器或编码器判断第一抢答者的信号并将其锁存,在得到第一信号之后应立即将电路的输入封锁,同时还必须注意,第一抢答信号应在主持人发出抢答命令之后才有效。

2、当电路形成第一抢答信号之后,用编码、译码及数码显示电路显示出抢答者的组别,或用发光二极管直接指示出组别。同时控制音频振荡器工作。

3、限时及显示电路可多谐振荡器、计数器、译码器和数码显示电路组成。

第三章方案确定

1.方案一:采用门电路构成

此方案主要由与非门构成,常用电路图如下所示:

其基本的电路有抢答器主体电路、各组的抢答灯光显示电路、表示已

抢答的抢答音响告知电路等几部分组成:

①抢答器主体电路:抢答器的主体电路,主要是由每个抢答组都具有的独立的抢答电路组成。它要求本组抢答电路的输出信号,能关闭其他再抢答的可能性。

②灯光指示电路:当有一个抢答组已抢答时,则该组的抢答灯光显示电路应燃亮,使与会者一目了然地知道本组已抢答。

③裁判控制电路:有时需要设置一个裁判控制电路,以便裁判可以随时取消任何一组的抢答权利,或者控制所有各组能否抢答。

此方案以简单的电路实现了抢答器应具有的功能。但它完全是用与非门构成,在焊接电路时会有较大的困难。

2.方案二:用单片机实现。其常用电路如下(2)

这个抢答器由单片机以及外围电路组成,由于采用单片机,使得外围电路非常简单,功能并不比一般的抢答器少。

3.方案三:采用集成芯片构成。

采用集成芯片74LS148, 74LS48, 74LS192,555定时器等构成。其中,74LS148主要用于优先编码器电路中,74LS48用于数码管驱动电路,74LS192用于定时电路,由555定时器和三极管构成报警电路。此方案主要由我们所熟悉的芯片构成且结构简单,焊接时也不会遇到太多的问题。

综合以上方案,我们选择方案三实现抢答的设计。

第四章功能介绍

4.1主要功能介绍

(1)抢答器最多可供8名选手参赛,编号为1~8号,各队分别用一个按钮(分别为S1~S8 控制,并设置一个系统清零和抢答控制开关S,该开关由主持人控制。

(2)抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,同时蜂鸣器发出间歇式声响(持续时间为0.5秒),主持人清零后,声音提示停止。

(3)开关S作为清零及抢答控制开关(由主持人控制),当开关S被按下时抢答电路清零,松开后则允许抢答。输入抢答信号由抢答按钮开关S1~S8实现。

(4)有抢答信号输入(开关S1~S8中的任意一个开关被按下)时,并显示出相对应的组别号码。此时再按其他任何一个抢答器开关均无效,指示灯依旧“保持” 第一个开关按下时所对应的状态不变。

4.2扩展功能介绍

(1)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5秒左右。

(2)参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。(3)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

第五章实现的原理与电路

5.1数字抢答器总体方框图

如图1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

总体框图图1

5.2 单元电路设计

(1) 抢答器电路

设计电路如图2所示。电路选用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"清除"端时,RS触发器的 R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO =1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR=1,使74LS148 优先编码工作标志端=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。

74LS148为8线-3线优先编码器,表1为其功能表。

图2

(2) 定时电路

图3

原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。

(3)报警电路

由555定时器和三极管构成的报警电路如下所示:

其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

(4)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到"开始"位置时,扬声器发声,抢答电路和定时电路进人

正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

根据上面的功能要求以及抢答器电路图,设计的时序控制电路如下所示。

图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。

第六章 电路安装

6.1 PCB 板的制作:

1). PCB 原理图的绘制:其流程图如下图所示

2). 制作PCB 板

①将PCB 原理图按1:1打印在打印纸上;

②将打印上的PCB原理图转印至预先裁好的PCB板上;

④将转印好的PCB板用FeCl3溶液进行腐蚀;

⑤打孔

6.2. 电路板的安装

2.1).组装输入电路

2.2).组装判别电路

2.3). 组装光显示电路

2.4).组装数码显示电路

2.5). 组装主持人控制电路

6.3.完成抢答电路设计后,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。

6.4.安装注意事项:

①.安装应接触良好,保证被安装元件间能稳定可靠地通过一定的电流。

②.应避免元器件的损坏,插拔元器件时要垂直插拔以免造成元件管脚损坏。

③.安装时必须采用绝缘性能好的绝缘导线,连线的时候要取好元件与元件的距离,且要尽量避免线与线之间的交叉.

第七章电路调试与测试

7.1 调试前的检测

电路安装完毕,通常不宜急于通电,先要认真检查一下。检查内容包括:(1)连线是否正确

(2)元器件的安装情况

检查元器件引脚之间有无短路;连接处有无接触不良;二极管的极性和集成元件的引脚是否连接有误。

(3)电源供电,信号源连接是否正确。

(4)电源端对地是否有短路的现象。

注:在通电前,断开一根电源线,用万用表检查电源端对地是否存在短路。若电路经过上述检查,并确认无误后,就可以转入调试。

7.2 通电观察

把经过准确测量的电源接入电路。观察有无异常现象,包括有无冒烟,是否有异味,手摸器件是否发烫,电源是否有短路现象等。如果出现异常,应立即断电源,待排除故障后才能再通电。然后测量各路总电源电压和各器件的引脚的电源电压,以保证元器件正常工作。

7.3 调试中注意事项

调试结果是否正确,很大程度受测量正确与否和测量精度的影响。为了保证调试的效果,必须减小测量误差,提高测量精度。为此,需注意以下几点:1)正确使用测量仪器的接地端

2)正确选择测量点

3)电路的测试

经测试,所设计的抢答器完全符合计要求,现将测试结果记录如下:

1.参赛者在进行抢答时,当抢答者按下抢答按钮时,抢答器都能准确地判断出抢先者,并以光为标志

2. 抢答器具有互锁功能,某组抢答后能自动封锁其它各组进行抢答。

3.抢答者犯规或违章(主持人未说‘开始抢答’时,参赛者抢先按钮)时,应自动发出警告信号,以指示灯光闪为标志。

4.系统具有一个总复位开关。当一轮抢答结束后,只有在主持人按下复位开关才可以进行下一轮抢答.

第八章元件清单

第九章心得

实习给了我们一个很好的提高动手能力的机会。平常我们只是在头脑中去抽象的记忆、理解那些课本上的理论知识。有的理论知识很好懂,但是有的理论知识确是晦涩难懂的,甚至只是靠自己的死记硬背去记住。但是我们都知道,那样的记忆只是一时的,很快你就会忘记。而这次的实习却给了我们一个在实践中灵活运用知识的机会,我们通过在实践中发现问题,进而去书本中找相关的知识去解决问题,从而巩固了理论知识。

此次课程设计,增强了我们的动手能力,把我们的理论知识与实际相结合,运用学习期间所学的知识, 解决了一些实际性的问题。在整个实验过程中,遇到了几个问题,如画PCB图的时候经过几次布局和布线才得以成功、转印时没能完美将它转印出来,腐蚀时FeCL

溶液过少或过多,调试电路时没有仔细,导致在

3

进行测试时得不到理想的结果等等。在我和组员们经过几次努力终于成功的排除这些困难,这让我们深刻懂得了“科学是严谨的”的道理。此次的实验让我认识到了自己的不足之处,如PCB图的绘制、电路板的焊接、仪器仪表的使用等等。当然,我还是希望自己能在以后的学习中多参加一些这样的设计,能够更进一步

的提高自己的能力。

第十章参考文献

1、张兴忠.数字逻辑与数字系统实践技术-学习指导、实验与课程设计[M].北京:科学出版社,2005.6;

2、延明,张亦华、肖冰.数字逻辑设计实验与EDA技术[M].北京:北京邮电大学出版社,2006.1;

第十一章附录

抢答器原理图

以下8张图是抢答器的仿真

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

智力抢答器的设计

一:摘要 抢答器是在智力竞赛中为了答题时方便进行抢答而设计的一种优先判决器电路,本次的课程设计要求是可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参加者按抢答开关,则该组指示灯亮并用组别显示电路显示抢答者的组别。此时,电路具备自锁功能,使别组的抢答开关不起作用。设置记分电路。每组在开始时预置成100分,抢答后主持人记分,答对依次加10分。设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别显示电路显示出犯规组别。按照这样的规律进行智力竞赛抢答游戏,要完成这些逻辑功能,这个电路应该包括鉴别模块,计数模块,报警模块,译码模块,计分模块,分频模块。 关键词:抢答封锁鉴别计数报警 二:设计要求 1:抢答器同时供四组选手比赛,分别用四个按钮S0,S1,S2,S3表示。 2:设置一个系统清除和开始抢答的总控制开关又主持人作用RST. 3:抢答器具有锁存与显示功能,即锁定最先抢答的选手的编号并由LED显示出来 4:选手的抢答实行优先制,即当第一个选手按下抢答键时,其他选手的按键不再有效直到主持人将系统清楚 5:抢答器具有定时功能,每次抢答时间先前设定,超出抢答时间就会出现报警提示,此轮抢答作废 6:如果答对由主持人记分。初始预置100分,每答对一次加十分 三:方案论述 3.1抢答器的鉴别模块 在这个模块中主要实现的是抢答过程中的前大功能,如果选手出现超前抢答则发出警告报警声,并能记录抢答者编号。实现当有一路抢答器按键按下时,其他抢答器信号将被改抢答器封锁而不能正常抢答。其中四个抢答信号:S0,S1,S2,S3;抢答状态显示信号states 抢答与报警时钟信号clk2;系统复位信号rst;报警信号warm. 3.2抢答器计数模块 在这个模块中主要实现抢答器的计时功能,在有抢答器按键按下后的20秒的倒计时,并且在20秒内倒计时后无人抢答就显示抢答超时并报警提示。在这其中有时钟信号clk1;系 统复位信号ret;抢答是能信号start;无人抢答信号warm;计时终止信号stop;计时十位和计时个位信号tb,ta。 3.3报警模块 在这个模块中主要实现抢答过程中的报警功能。在有限时间内无人抢答或者超前抢答都会用报警声来提示。其中包括计时停止信号stop;状态输出信号alm;计数脉冲clk。 3.4译码模块 在这个模块中主要实现抢答过程中将BCD码转换成7段得功能。 3.5分频模块 在这个模块中主要实现抢答过程中所需要的时钟信号。 3.6记分模块 这个模块主要实现抢答者答对时加十分的功能。 3.7顶层文件

8人智力竞赛抢答器的设计

数字与模拟电子线路课程设计报告书 课题名称 8人智力竞赛抢答器的设计 姓 名 彭先觉 学 号 0812401*09 院、系、部 物理与电信工程系 专 业 通信工程 指导教师 崔宪普 2010年1月7号 ※※※※※※※※※ ※※ ※※ ※ ※ 2008级数字与模拟电 子电路课程设计

一、设计任务及要求: 设计任务: 设计一个具有锁存与显示功能的8人防作弊逻辑抢答电路。 要求: 1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮1~ 8表示。每个参赛者控制一个按钮,用按动按钮发出抢答信号。 2. 设置一个系统清除和抢答控制开关So,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。竞赛开始后,先由主持人将“开始/清零”按键按下,然后先按动按钮的参赛者将被显示器显示出来,此后其他三人参赛者再按动按钮对电路不起作用。优先抢答的参赛者编号将一直被显示直至主持人将系统清零。另外,在主持人按下“开始/清零”键时,计时器开始计时,时间为三十秒,在规定的时间内,仍无人作答,系统将发出蜂鸣声示警,抢答结束。 指导教师签名: 2010年1月7日 二、指导教师评语: 指导教师签名: 2009年12 月28日 三、成绩 验收盖章 2010年1月日

8人智力抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握CD4511芯片的逻辑功能及使用方法。 (3)掌握计时器的制作与原理。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计主体电路(即抢答器) (2)设计支路(计时器) 3 设计过程 3.1设计方案 抢答器总体方框图如图1所示: 图1 抢答器总体方框图 其工作原理为:当抢答比赛开始时,主持人按下“开始/清零”开关,接通电源,抢答器开始工作,定时器倒计时,如选手在规定的时间内抢答,则抢答器完成:优先判断、编号锁存、编号显示等一系列动作,当一轮抢答之后,定时器停止、禁止二次抢答。如在规定的时间内仍无人抢答,则计时器不会停止工作,直至时间结束时蜂鸣器发出声音报警宣告抢答结束,如果再次抢答必须由主持人再次执行上述操作。

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

4路智力竞赛抢答器

信息与电气工程学院 课程设计说明书(2013 /2014学年第二学期) 课程名称:单片机应用 题目:4路智力竞赛抢答器 专业班级:自动化 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2014年07月10日

一、课程设计目的 通过长达两周的单片机课程设计,使我加深对《单片机原理及应用》课程所学理论知识的理解,并将理论与实践相结合。结合课程设计的内容,学会单片机最小系统及外围电路的设计,基本电子元器件的使用,利用Protel软件绘制电路原理图和PCB图,编写程序并结合protues进行仿真,检查电路的合理性及可行性,进行软硬件联机调试,发现问题并解决问题。学会查阅相关专业技术资料及设计手册,提高团队合作及独立解决问题的能力。 二、课程设计任务及要求 2.1 原始数据及主要任务 采用STC90C52作为控制单元,实现4路智力竞赛抢答器的设计。 1.设计键盘输入电路。 2.设计显示电路。 3.合理分配地址,编写系统程序。 4.利用Protel设计硬件电路原理图和PCB图。 5.用protues仿真 2.2 技术要求 设计一台以MCS-51为核心的可供4名选手参加比赛的智力竞赛抢答器。4名选手各一个按键抢答,主持人一个按键用来系统清零和开始;1个数码管显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器响;选手抢答成功时,一个数码管显示选手号,同时蜂鸣器发3声间隔1秒笛响,倒计时停止。初始状态,2个数码管均为0,当抢答成功时,时间数码管显示暂停时间,选手号数码管显示选手号,直到系统清零。 三、设计思路及原理 3.1 设计思路 设置5个独立按键,其编号分别是1到5,key1为主持人按键,key2-key5分别为1-4号选手按键。主持人未按键时,其他选手抢答无效,只有当主持人按下开始按键时才可以进行抢答,左边数码管从“9”到“0”开始倒计时,当4个按钮只要有一个按下即只要有人抢答时,左边数码管倒计时停止,右边数码管显示选手编号,蜂鸣器发出3声间隔1秒笛响,选手前面的指示灯也一同点亮,其他抢答都无效。当选手答完后,由主持人按键将数码管清零,继续开始抢答。若10秒内无人抢答,则声鸣器发出超时报警声。选用两个共阳极数码管作为显示,数码管倒计时采用定时器T0进行1S钟计时。 3.2设计原理图

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

电子系统设计实习报告4人智力竞赛抢答器

电子系统设计实习报告 4人智力竞赛抢答器 班级:电子01-1 设计者:何玉迎王丽晖李小瑞学号:

4人智力竞赛抢答器 指导老师:刘丽萱耿敏设计者:何玉迎李小瑞王丽晖 班级:电子01-2班 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响0.5秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢 答器。 2. 设计要求: 14名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 2给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 3抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同 时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢 答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬 声器发出音响,音响持续0.5秒。参赛选手在设定时间(9秒)内 抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器 停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩 余抢答时间,并保持到主持人将系统清零为止。 5如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手 超时后抢答,时间显示器显示0。 6石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

八路智力竞赛抢答器课程设计绝度详细

电子技术课程设计 八 路 智 力 抢 答 器 院系: 电气工程与自动化 班级: 姓名: 学号: 指导老师:

目录 一引言 (1) 1.1 设计要求 (1) 1.2 功能要求 (2) 1.3 整体概要 (2) 二方案设计与论证 (3) 2.1 方案一 (3) 2.2 方案二 (3) 三系统分析与设计 (4) 3.1 抢答器电路设计与相关元器 (4) 3.2 定时电路设计与相关元件 (6) 3.3 报警电路设计与相关元器件 (8) 3.4 时序电路与相关元器件 (8) 3.5 智力抢答器电路原理图 (9) 3.6 元器件清单 (10) 四电路仿真 (11) 五实物制作 (13) 六元器件清单 (16) 七设计总结体会 (17) 八参考文献 (18)

一引言 智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中非常常见的一种答题方式。抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。 1.1、设计要求 (1)设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛.他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 (2)节目主持人设置一个控制开关,用来控制系统的复位和抢答开始. (3)抢答器具有数据锁存和显示功能.抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器发出声音提示.此外封锁输入电路,禁止其它选手抢答.优先抢答的选手的编号一直保持到主持人将系统复位为止. 1.2、功能要求 (1)抢答器具有定时抢答功能.且一次抢答的时间可由主持人设定.当节目主持人启动”开始”键后,要求定时器立即开始减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5S左右. (2)参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统消零为止. (3)如果定时抢答器时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器显示00. 1.3、整体概述 (1)数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分

四人智力竞赛抢答器课程设计报告

一、设计题目 四人电子抢答器 二、设计功能 1.基本功能 (1)抢答器同时供4名选手比赛,分别用4个按钮key5~key8表示。 (2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动"开始"键后,定时器进行减计时。 (2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效, 3.自主功能 (1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。 (2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。 (3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。 (4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。 三、原理电路设计:

1、方案 抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示主持人电路: (1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 (2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下 (3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T 触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。 显示电路和分频电路上课老师讲的很具体明了就不一一详叙。 2、单元电路设计 (1)、锁存器电路的设计 锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下: 锁存器的单元电路设计如下:

四路智力竞赛抢答器设计说明

建筑大学 电气与电子信息工程学院数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生: 学号: 指导老师: 设计时间:

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

相关文档
相关文档 最新文档